From 4516096f147816b9b7388c22fb0962152179c7a1 Mon Sep 17 00:00:00 2001 From: Joe Crop Date: Mon, 9 Sep 2024 14:35:40 -0700 Subject: [PATCH] prepping release 0-13-10 --- CHANGELOG.md | 6 ++++++ 1 file changed, 6 insertions(+) diff --git a/CHANGELOG.md b/CHANGELOG.md index db37d13..581e7c0 100644 --- a/CHANGELOG.md +++ b/CHANGELOG.md @@ -10,6 +10,12 @@ More details can be found on the [Releases](https://github.com/eirikpre/VSCode-S - 💡 Back-end Language Server for Systemverilog - 💡 Complete syntax highlighting +### [0.13.10] + +- Right-justified icons by `joecrop` +- Added support for symbol resolution in vh, svh, vams, and veriloga files by `joecrop` +- Added support for import statements within module headers when auto-instantiating modules by `Stavegu` + ### [0.13.9] - Added basic syntax highlighting support for sdc/xdc files inspired by [https://github.com/mshr-h/vscode-verilog-hdl-support](vscode-verilog-hdl-support) by `joecrop`