From d3a4f405c395f77ca9b565d7621b9f9a09a29d61 Mon Sep 17 00:00:00 2001 From: AngelaGonzalezMarino <135128652+AngelaGonzalezMarino@users.noreply.github.com> Date: Tue, 15 Oct 2024 16:41:17 +0200 Subject: [PATCH] Update core/frontend/bht.sv Co-authored-by: github-actions[bot] <41898282+github-actions[bot]@users.noreply.github.com> --- core/frontend/bht.sv | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/core/frontend/bht.sv b/core/frontend/bht.sv index aaff24d09e..baff335c73 100644 --- a/core/frontend/bht.sv +++ b/core/frontend/bht.sv @@ -158,9 +158,9 @@ module bht #( bht = '0; for (int i = 0; i < CVA6Cfg.INSTR_PER_FETCH; i++) begin - bht_ram_read_address_0[i*$clog2(NR_ROWS)+:$clog2(NR_ROWS)] = index; - bht_prediction_o[i].valid = bht_ram_rdata_0[i*BRAM_WORD_BITS+2]; - bht_prediction_o[i].taken = bht_ram_rdata_0[i*BRAM_WORD_BITS+1]; + bht_ram_read_address_0[i*$clog2(NR_ROWS)+:$clog2(NR_ROWS)] = index; + bht_prediction_o[i].valid = bht_ram_rdata_0[i*BRAM_WORD_BITS+2]; + bht_prediction_o[i].taken = bht_ram_rdata_0[i*BRAM_WORD_BITS+1]; end if (bht_update_i.valid && !debug_mode_i) begin