diff --git a/docs/schema-doc/address_range-properties-address.md b/docs/schema-doc/address_range-properties-address.md deleted file mode 100644 index 982e9b405..000000000 --- a/docs/schema-doc/address_range-properties-address.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled integer in Address Range Schema Schema - -```txt -http://pulp-platform.org/snitch/address_range.schema.json#/properties/address -``` - -Base address of range. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :----------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [address_range.schema.json*](address_range.schema.json "open original schema") | - -## address Type - -`integer` - -## address Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/address_range-properties-length.md b/docs/schema-doc/address_range-properties-length.md deleted file mode 100644 index 0a36204c1..000000000 --- a/docs/schema-doc/address_range-properties-length.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled integer in Address Range Schema Schema - -```txt -http://pulp-platform.org/snitch/address_range.schema.json#/properties/length -``` - -Size in bytes of range. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :----------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [address_range.schema.json*](address_range.schema.json "open original schema") | - -## length Type - -`integer` - -## length Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/address_range.md b/docs/schema-doc/address_range.md deleted file mode 100644 index e02f7aeb4..000000000 --- a/docs/schema-doc/address_range.md +++ /dev/null @@ -1,66 +0,0 @@ -# Address Range Schema Schema - -```txt -http://pulp-platform.org/snitch/address_range.schema.json -``` - -Description of a generic address range - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :---------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [address_range.schema.json](address_range.schema.json "open original schema") | - -## Address Range Schema Type - -`object` ([Address Range Schema](address_range.md)) - -# Address Range Schema Properties - -| Property | Type | Required | Nullable | Defined by | -| :------------------ | :-------- | :------- | :------------- | :------------------------------------------------------------------------------------------------------------------------------------------ | -| [address](#address) | `integer` | Required | cannot be null | [Address Range Schema](address_range-properties-address.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/address") | -| [length](#length) | `integer` | Required | cannot be null | [Address Range Schema](address_range-properties-length.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/length") | - -## address - -Base address of range. - -`address` - -* is required - -* Type: `integer` - -* cannot be null - -* defined in: [Address Range Schema](address_range-properties-address.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/address") - -### address Type - -`integer` - -### address Constraints - -**minimum**: the value of this number must greater than or equal to: `0` - -## length - -Size in bytes of range. - -`length` - -* is required - -* Type: `integer` - -* cannot be null - -* defined in: [Address Range Schema](address_range-properties-length.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/length") - -### length Type - -`integer` - -### length Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/address_range.schema.json b/docs/schema-doc/address_range.schema.json deleted file mode 100644 index ecd52c97b..000000000 --- a/docs/schema-doc/address_range.schema.json +++ /dev/null @@ -1 +0,0 @@ -{"$schema":"http://json-schema.org/draft-07/schema#","$id":"http://pulp-platform.org/snitch/address_range.schema.json","title":"Address Range Schema","description":"Description of a generic address range","type":"object","required":["address","length"],"properties":{"address":{"type":"integer","description":"Base address of range.","minimum":0,"default":0},"length":{"type":"integer","description":"Size in bytes of range.","minimum":0,"default":0}}} diff --git a/docs/schema-doc/axi_tlb-properties-l1_cut_ax.md b/docs/schema-doc/axi_tlb-properties-l1_cut_ax.md deleted file mode 100644 index 026877360..000000000 --- a/docs/schema-doc/axi_tlb-properties-l1_cut_ax.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled boolean in AXI TLB Schema Schema - -```txt -http://pulp-platform.org/snitch/axi_tlb.schema.json#/properties/l1_cut_ax -``` - -Insert spill register on TLB request channels, cutting timing paths. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :----------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [axi_tlb.schema.json*](axi_tlb.schema.json "open original schema") | - -## l1\_cut_ax Type - -`boolean` - -## l1\_cut_ax Default Value - -The default value is: - -```json -true -``` diff --git a/docs/schema-doc/axi_tlb-properties-l1_num_entries.md b/docs/schema-doc/axi_tlb-properties-l1_num_entries.md deleted file mode 100644 index 4e4255cd6..000000000 --- a/docs/schema-doc/axi_tlb-properties-l1_num_entries.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in AXI TLB Schema Schema - -```txt -http://pulp-platform.org/snitch/axi_tlb.schema.json#/properties/l1_num_entries -``` - -Number of TLB translation entries. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :----------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [axi_tlb.schema.json*](axi_tlb.schema.json "open original schema") | - -## l1\_num_entries Type - -`integer` - -## l1\_num_entries Default Value - -The default value is: - -```json -8 -``` diff --git a/docs/schema-doc/axi_tlb-properties-max_trans.md b/docs/schema-doc/axi_tlb-properties-max_trans.md deleted file mode 100644 index e4a805266..000000000 --- a/docs/schema-doc/axi_tlb-properties-max_trans.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in AXI TLB Schema Schema - -```txt -http://pulp-platform.org/snitch/axi_tlb.schema.json#/properties/max_trans -``` - -Maximum outstanding transactions the TLB can handle. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :----------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [axi_tlb.schema.json*](axi_tlb.schema.json "open original schema") | - -## max_trans Type - -`integer` - -## max_trans Default Value - -The default value is: - -```json -32 -``` diff --git a/docs/schema-doc/axi_tlb.md b/docs/schema-doc/axi_tlb.md deleted file mode 100644 index 289daf87b..000000000 --- a/docs/schema-doc/axi_tlb.md +++ /dev/null @@ -1,101 +0,0 @@ -# AXI TLB Schema Schema - -```txt -http://pulp-platform.org/snitch/axi_tlb.schema.json -``` - -AXI TLB Properties - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :---------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [axi_tlb.schema.json](axi_tlb.schema.json "open original schema") | - -## AXI TLB Schema Type - -`object` ([AXI TLB Schema](axi_tlb.md)) - -# AXI TLB Schema Properties - -| Property | Type | Required | Nullable | Defined by | -| :-------------------------------- | :-------- | :------- | :------------- | :-------------------------------------------------------------------------------------------------------------------------------------- | -| [max_trans](#max_trans) | `integer` | Optional | cannot be null | [AXI TLB Schema](axi_tlb-properties-max_trans.md "http://pulp-platform.org/snitch/axi_tlb.schema.json#/properties/max_trans") | -| [l1_num_entries](#l1_num_entries) | `integer` | Optional | cannot be null | [AXI TLB Schema](axi_tlb-properties-l1_num_entries.md "http://pulp-platform.org/snitch/axi_tlb.schema.json#/properties/l1_num_entries") | -| [l1_cut_ax](#l1_cut_ax) | `boolean` | Optional | cannot be null | [AXI TLB Schema](axi_tlb-properties-l1_cut_ax.md "http://pulp-platform.org/snitch/axi_tlb.schema.json#/properties/l1_cut_ax") | - -## max_trans - -Maximum outstanding transactions the TLB can handle. - -`max_trans` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [AXI TLB Schema](axi_tlb-properties-max_trans.md "http://pulp-platform.org/snitch/axi_tlb.schema.json#/properties/max_trans") - -### max_trans Type - -`integer` - -### max_trans Default Value - -The default value is: - -```json -32 -``` - -## l1\_num_entries - -Number of TLB translation entries. - -`l1_num_entries` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [AXI TLB Schema](axi_tlb-properties-l1\_num_entries.md "http://pulp-platform.org/snitch/axi_tlb.schema.json#/properties/l1\_num_entries") - -### l1\_num_entries Type - -`integer` - -### l1\_num_entries Default Value - -The default value is: - -```json -8 -``` - -## l1\_cut_ax - -Insert spill register on TLB request channels, cutting timing paths. - -`l1_cut_ax` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [AXI TLB Schema](axi_tlb-properties-l1\_cut_ax.md "http://pulp-platform.org/snitch/axi_tlb.schema.json#/properties/l1\_cut_ax") - -### l1\_cut_ax Type - -`boolean` - -### l1\_cut_ax Default Value - -The default value is: - -```json -true -``` diff --git a/docs/schema-doc/axi_tlb.schema.json b/docs/schema-doc/axi_tlb.schema.json deleted file mode 100644 index 6f61e4307..000000000 --- a/docs/schema-doc/axi_tlb.schema.json +++ /dev/null @@ -1 +0,0 @@ -{"$schema":"http://json-schema.org/draft-07/schema#","$id":"http://pulp-platform.org/snitch/axi_tlb.schema.json","title":"AXI TLB Schema","description":"AXI TLB Properties","type":"object","properties":{"max_trans":{"type":"integer","description":"Maximum outstanding transactions the TLB can handle.","default":32},"l1_num_entries":{"type":"integer","description":"Number of TLB translation entries.","default":8},"l1_cut_ax":{"type":"boolean","description":"Insert spill register on TLB request channels, cutting timing paths.","default":true}}} diff --git a/docs/schema-doc/axi_xbar-properties-fall_through.md b/docs/schema-doc/axi_xbar-properties-fall_through.md deleted file mode 100644 index 9d8414143..000000000 --- a/docs/schema-doc/axi_xbar-properties-fall_through.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled boolean in AXI Crossbar Schema Schema - -```txt -http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/fall_through -``` - -Configure crossbar to be fall-through (zero latency). - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [axi_xbar.schema.json*](axi_xbar.schema.json "open original schema") | - -## fall_through Type - -`boolean` diff --git a/docs/schema-doc/axi_xbar-properties-max_mst_trans.md b/docs/schema-doc/axi_xbar-properties-max_mst_trans.md deleted file mode 100644 index 309bc561b..000000000 --- a/docs/schema-doc/axi_xbar-properties-max_mst_trans.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in AXI Crossbar Schema Schema - -```txt -http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/max_mst_trans -``` - -Maximum outstanding transaction on the master port. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [axi_xbar.schema.json*](axi_xbar.schema.json "open original schema") | - -## max_mst_trans Type - -`integer` - -## max_mst_trans Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/axi_xbar-properties-max_slv_trans.md b/docs/schema-doc/axi_xbar-properties-max_slv_trans.md deleted file mode 100644 index aa88d4918..000000000 --- a/docs/schema-doc/axi_xbar-properties-max_slv_trans.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in AXI Crossbar Schema Schema - -```txt -http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/max_slv_trans -``` - -Maximum outstanding transaction on the slave port. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [axi_xbar.schema.json*](axi_xbar.schema.json "open original schema") | - -## max_slv_trans Type - -`integer` - -## max_slv_trans Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/axi_xbar.md b/docs/schema-doc/axi_xbar.md deleted file mode 100644 index ba18fcfa8..000000000 --- a/docs/schema-doc/axi_xbar.md +++ /dev/null @@ -1,93 +0,0 @@ -# AXI Crossbar Schema Schema - -```txt -http://pulp-platform.org/snitch/axi_xbar.schema.json -``` - -AXI Crossbar Properties - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------ | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [axi_xbar.schema.json](axi_xbar.schema.json "open original schema") | - -## AXI Crossbar Schema Type - -`object` ([AXI Crossbar Schema](axi_xbar.md)) - -# AXI Crossbar Schema Properties - -| Property | Type | Required | Nullable | Defined by | -| :------------------------------ | :-------- | :------- | :------------- | :------------------------------------------------------------------------------------------------------------------------------------------- | -| [max_slv_trans](#max_slv_trans) | `integer` | Optional | cannot be null | [AXI Crossbar Schema](axi_xbar-properties-max_slv_trans.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/max_slv_trans") | -| [max_mst_trans](#max_mst_trans) | `integer` | Optional | cannot be null | [AXI Crossbar Schema](axi_xbar-properties-max_mst_trans.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/max_mst_trans") | -| [fall_through](#fall_through) | `boolean` | Optional | cannot be null | [AXI Crossbar Schema](axi_xbar-properties-fall_through.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/fall_through") | - -## max_slv_trans - -Maximum outstanding transaction on the slave port. - -`max_slv_trans` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [AXI Crossbar Schema](axi_xbar-properties-max_slv_trans.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/max_slv_trans") - -### max_slv_trans Type - -`integer` - -### max_slv_trans Default Value - -The default value is: - -```json -4 -``` - -## max_mst_trans - -Maximum outstanding transaction on the master port. - -`max_mst_trans` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [AXI Crossbar Schema](axi_xbar-properties-max_mst_trans.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/max_mst_trans") - -### max_mst_trans Type - -`integer` - -### max_mst_trans Default Value - -The default value is: - -```json -4 -``` - -## fall_through - -Configure crossbar to be fall-through (zero latency). - -`fall_through` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [AXI Crossbar Schema](axi_xbar-properties-fall_through.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/fall_through") - -### fall_through Type - -`boolean` diff --git a/docs/schema-doc/axi_xbar.schema.json b/docs/schema-doc/axi_xbar.schema.json deleted file mode 100644 index a8cfc5113..000000000 --- a/docs/schema-doc/axi_xbar.schema.json +++ /dev/null @@ -1 +0,0 @@ -{"$schema":"http://json-schema.org/draft-07/schema#","$id":"http://pulp-platform.org/snitch/axi_xbar.schema.json","title":"AXI Crossbar Schema","description":"AXI Crossbar Properties","type":"object","properties":{"max_slv_trans":{"type":"integer","description":"Maximum outstanding transaction on the slave port.","default":4},"max_mst_trans":{"type":"integer","description":"Maximum outstanding transaction on the master port.","default":4},"fall_through":{"type":"boolean","description":"Configure crossbar to be fall-through (zero latency).","default":false}}} diff --git a/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface-properties-address_1.md b/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface-properties-address_1.md deleted file mode 100644 index 283a775ea..000000000 --- a/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface-properties-address_1.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm/properties/address_1 -``` - -Start of HBM address region 1. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## address\_1 Type - -`integer` - -## address\_1 Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface-properties-address_2.md b/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface-properties-address_2.md deleted file mode 100644 index 8e903c0fb..000000000 --- a/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface-properties-address_2.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm/properties/address_2 -``` - -Start of HBM address region 1. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## address\_2 Type - -`integer` - -## address\_2 Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface-properties-cfg_regions.md b/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface-properties-cfg_regions.md deleted file mode 100644 index 82db7b2f5..000000000 --- a/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface-properties-cfg_regions.md +++ /dev/null @@ -1,96 +0,0 @@ -# Untitled undefined type in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm/properties/cfg_regions -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## cfg_regions Type - -unknown - -# undefined Properties - -| Property | Type | Required | Nullable | Defined by | -| :------------ | :------- | :------- | :------------- | :------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [top](#top) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-address-range-schema-3.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/hbm/properties/cfg_regions/properties/top") | -| [phy](#phy) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-address-range-schema-3.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/hbm/properties/cfg_regions/properties/phy") | -| [seq](#seq) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-address-range-schema-3.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/hbm/properties/cfg_regions/properties/seq") | -| [ctrl](#ctrl) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-address-range-schema-3.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/hbm/properties/cfg_regions/properties/ctrl") | - -## top - -Description of a generic address range - -`top` - -* is optional - -* Type: `object` ([Address Range Schema](occamy-properties-address-range-schema-3.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-address-range-schema-3.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/hbm/properties/cfg_regions/properties/top") - -### top Type - -`object` ([Address Range Schema](occamy-properties-address-range-schema-3.md)) - -## phy - -Description of a generic address range - -`phy` - -* is optional - -* Type: `object` ([Address Range Schema](occamy-properties-address-range-schema-3.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-address-range-schema-3.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/hbm/properties/cfg_regions/properties/phy") - -### phy Type - -`object` ([Address Range Schema](occamy-properties-address-range-schema-3.md)) - -## seq - -Description of a generic address range - -`seq` - -* is optional - -* Type: `object` ([Address Range Schema](occamy-properties-address-range-schema-3.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-address-range-schema-3.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/hbm/properties/cfg_regions/properties/seq") - -### seq Type - -`object` ([Address Range Schema](occamy-properties-address-range-schema-3.md)) - -## ctrl - -Description of a generic address range - -`ctrl` - -* is optional - -* Type: `object` ([Address Range Schema](occamy-properties-address-range-schema-3.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-address-range-schema-3.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/hbm/properties/cfg_regions/properties/ctrl") - -### ctrl Type - -`object` ([Address Range Schema](occamy-properties-address-range-schema-3.md)) diff --git a/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface-properties-channel_size.md b/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface-properties-channel_size.md deleted file mode 100644 index ad8c10192..000000000 --- a/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface-properties-channel_size.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm/properties/channel_size -``` - -Size of single HBM channel region. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## channel_size Type - -`integer` - -## channel_size Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface-properties-nr_channels_address_0.md b/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface-properties-nr_channels_address_0.md deleted file mode 100644 index d63a78351..000000000 --- a/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface-properties-nr_channels_address_0.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm/properties/nr_channels_address_0 -``` - -Number of lower HBM channels accessible over address region 1 - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## nr_channels_address\_0 Type - -`integer` - -## nr_channels_address\_0 Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface-properties-nr_channels_total.md b/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface-properties-nr_channels_total.md deleted file mode 100644 index dd69d26eb..000000000 --- a/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface-properties-nr_channels_total.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm/properties/nr_channels_total -``` - -Total number of HBM channels. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## nr_channels_total Type - -`integer` - -## nr_channels_total Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface.md b/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface.md deleted file mode 100644 index f8feb0c3c..000000000 --- a/docs/schema-doc/occamy-properties-configuration-of-external-hbm-interface.md +++ /dev/null @@ -1,154 +0,0 @@ -# Configuration of external HBM interface Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## hbm Type - -`object` ([Configuration of external HBM interface](occamy-properties-configuration-of-external-hbm-interface.md)) - -# Configuration of external HBM interface Properties - -| Property | Type | Required | Nullable | Defined by | -| :---------------------------------------------- | :------------ | :------- | :------------- | :-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [address_1](#address_1) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-configuration-of-external-hbm-interface-properties-address_1.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm/properties/address_1") | -| [address_2](#address_2) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-configuration-of-external-hbm-interface-properties-address_2.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm/properties/address_2") | -| [channel_size](#channel_size) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-configuration-of-external-hbm-interface-properties-channel_size.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm/properties/channel_size") | -| [nr_channels_total](#nr_channels_total) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-configuration-of-external-hbm-interface-properties-nr_channels_total.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm/properties/nr_channels_total") | -| [nr_channels_address_0](#nr_channels_address_0) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-configuration-of-external-hbm-interface-properties-nr_channels_address_0.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm/properties/nr_channels_address_0") | -| [cfg_regions](#cfg_regions) | Not specified | Optional | cannot be null | [Occamy System Schema](occamy-properties-configuration-of-external-hbm-interface-properties-cfg_regions.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm/properties/cfg_regions") | - -## address\_1 - -Start of HBM address region 1. - -`address_1` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-configuration-of-external-hbm-interface-properties-address\_1.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm/properties/address\_1") - -### address\_1 Type - -`integer` - -### address\_1 Constraints - -**minimum**: the value of this number must greater than or equal to: `0` - -## address\_2 - -Start of HBM address region 1. - -`address_2` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-configuration-of-external-hbm-interface-properties-address\_2.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm/properties/address\_2") - -### address\_2 Type - -`integer` - -### address\_2 Constraints - -**minimum**: the value of this number must greater than or equal to: `0` - -## channel_size - -Size of single HBM channel region. - -`channel_size` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-configuration-of-external-hbm-interface-properties-channel_size.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm/properties/channel_size") - -### channel_size Type - -`integer` - -### channel_size Constraints - -**minimum**: the value of this number must greater than or equal to: `0` - -## nr_channels_total - -Total number of HBM channels. - -`nr_channels_total` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-configuration-of-external-hbm-interface-properties-nr_channels_total.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm/properties/nr_channels_total") - -### nr_channels_total Type - -`integer` - -### nr_channels_total Constraints - -**minimum**: the value of this number must greater than or equal to: `0` - -## nr_channels_address\_0 - -Number of lower HBM channels accessible over address region 1 - -`nr_channels_address_0` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-configuration-of-external-hbm-interface-properties-nr_channels_address\_0.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm/properties/nr_channels_address\_0") - -### nr_channels_address\_0 Type - -`integer` - -### nr_channels_address\_0 Constraints - -**minimum**: the value of this number must greater than or equal to: `0` - -## cfg_regions - - - -`cfg_regions` - -* is optional - -* Type: unknown - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-configuration-of-external-hbm-interface-properties-cfg_regions.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm/properties/cfg_regions") - -### cfg_regions Type - -unknown diff --git a/docs/schema-doc/occamy-properties-configuration-of-external-pcie-port-properties-address_io.md b/docs/schema-doc/occamy-properties-configuration-of-external-pcie-port-properties-address_io.md deleted file mode 100644 index 1b3fab43b..000000000 --- a/docs/schema-doc/occamy-properties-configuration-of-external-pcie-port-properties-address_io.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/pcie/properties/address_io -``` - -Base address of PCIe IO range. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## address_io Type - -`integer` - -## address_io Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/occamy-properties-configuration-of-external-pcie-port-properties-address_mm.md b/docs/schema-doc/occamy-properties-configuration-of-external-pcie-port-properties-address_mm.md deleted file mode 100644 index 66d0e551d..000000000 --- a/docs/schema-doc/occamy-properties-configuration-of-external-pcie-port-properties-address_mm.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/pcie/properties/address_mm -``` - -Base address of PCIe memory-mapped range. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## address_mm Type - -`integer` - -## address_mm Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/occamy-properties-configuration-of-external-pcie-port-properties-length.md b/docs/schema-doc/occamy-properties-configuration-of-external-pcie-port-properties-length.md deleted file mode 100644 index 686a72d2e..000000000 --- a/docs/schema-doc/occamy-properties-configuration-of-external-pcie-port-properties-length.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/pcie/properties/length -``` - -Size in bytes of all PCIe ranges. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## length Type - -`integer` - -## length Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/occamy-properties-configuration-of-external-pcie-port.md b/docs/schema-doc/occamy-properties-configuration-of-external-pcie-port.md deleted file mode 100644 index b81f673ed..000000000 --- a/docs/schema-doc/occamy-properties-configuration-of-external-pcie-port.md +++ /dev/null @@ -1,89 +0,0 @@ -# Configuration of external PCIe port Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/pcie -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## pcie Type - -`object` ([Configuration of external PCIe port](occamy-properties-configuration-of-external-pcie-port.md)) - -# Configuration of external PCIe port Properties - -| Property | Type | Required | Nullable | Defined by | -| :------------------------ | :-------- | :------- | :------------- | :------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [address_io](#address_io) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-configuration-of-external-pcie-port-properties-address_io.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/pcie/properties/address_io") | -| [address_mm](#address_mm) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-configuration-of-external-pcie-port-properties-address_mm.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/pcie/properties/address_mm") | -| [length](#length) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-configuration-of-external-pcie-port-properties-length.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/pcie/properties/length") | - -## address_io - -Base address of PCIe IO range. - -`address_io` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-configuration-of-external-pcie-port-properties-address_io.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/pcie/properties/address_io") - -### address_io Type - -`integer` - -### address_io Constraints - -**minimum**: the value of this number must greater than or equal to: `0` - -## address_mm - -Base address of PCIe memory-mapped range. - -`address_mm` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-configuration-of-external-pcie-port-properties-address_mm.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/pcie/properties/address_mm") - -### address_mm Type - -`integer` - -### address_mm Constraints - -**minimum**: the value of this number must greater than or equal to: `0` - -## length - -Size in bytes of all PCIe ranges. - -`length` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-configuration-of-external-pcie-port-properties-length.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/pcie/properties/length") - -### length Type - -`integer` - -### length Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/occamy-properties-is_remote_quadrant.md b/docs/schema-doc/occamy-properties-is_remote_quadrant.md deleted file mode 100644 index 123e61b66..000000000 --- a/docs/schema-doc/occamy-properties-is_remote_quadrant.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled boolean in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/is_remote_quadrant -``` - -Set if this is a remote quadrant. Only quadrant ant remote interconnect is generated - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## is_remote_quadrant Type - -`boolean` diff --git a/docs/schema-doc/occamy-properties-narrow_xbar_slv_id_width.md b/docs/schema-doc/occamy-properties-narrow_xbar_slv_id_width.md deleted file mode 100644 index 8d44d606a..000000000 --- a/docs/schema-doc/occamy-properties-narrow_xbar_slv_id_width.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/narrow_xbar_slv_id_width -``` - -ID width of narrow crossbar slave ports. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## narrow_xbar_slv_id_width Type - -`integer` - -## narrow_xbar_slv_id_width Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-atomic_adapter_narrow.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-atomic_adapter_narrow.md deleted file mode 100644 index bb9bf7a0a..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-atomic_adapter_narrow.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/atomic_adapter_narrow -``` - -narrow spm atomic adapter internal cuts - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## atomic_adapter_narrow Type - -`integer` - -## atomic_adapter_narrow Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-atomic_adapter_narrow_wide.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-atomic_adapter_narrow_wide.md deleted file mode 100644 index 30b15759c..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-atomic_adapter_narrow_wide.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/atomic_adapter_narrow_wide -``` - -narrow_to_wide atomic adapter internal cuts - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## atomic_adapter_narrow_wide Type - -`integer` - -## atomic_adapter_narrow_wide Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-hbmx_to_hbm.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-hbmx_to_hbm.md deleted file mode 100644 index 8f09fdd06..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-hbmx_to_hbm.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/hbmx_to_hbm -``` - -hbmx -> hbm - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## hbmx_to_hbm Type - -`integer` - -## hbmx_to_hbm Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-inter_to_quad.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-inter_to_quad.md deleted file mode 100644 index bae83f1f9..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-inter_to_quad.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/inter_to_quad -``` - -inter xbar -> quad - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## inter_to_quad Type - -`integer` - -## inter_to_quad Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_and_hbi.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_and_hbi.md deleted file mode 100644 index 0b621cc8b..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_and_hbi.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_and_hbi -``` - -hbi <-> narrow xbar - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## narrow_and_hbi Type - -`integer` - -## narrow_and_hbi Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_and_pcie.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_and_pcie.md deleted file mode 100644 index 0ee9d661a..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_and_pcie.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_and_pcie -``` - -PCIe in and out - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## narrow_and_pcie Type - -`integer` - -## narrow_and_pcie Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_and_wide.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_and_wide.md deleted file mode 100644 index 0d0e64ef3..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_and_wide.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_and_wide -``` - -narrow xbar -> wide xbar & wide xbar -> narrow xbar - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## narrow_and_wide Type - -`integer` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_conv_to_spm_narrow.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_conv_to_spm_narrow.md deleted file mode 100644 index 357fddacc..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_conv_to_spm_narrow.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_conv_to_spm_narrow -``` - -narrow -> SPM post atomic adapter - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## narrow_conv_to_spm_narrow Type - -`integer` - -## narrow_conv_to_spm_narrow Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_conv_to_spm_narrow_pre.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_conv_to_spm_narrow_pre.md deleted file mode 100644 index e04bb7932..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_conv_to_spm_narrow_pre.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_conv_to_spm_narrow_pre -``` - -narrow -> SPM pre atomic adapter - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## narrow_conv_to_spm_narrow_pre Type - -`integer` - -## narrow_conv_to_spm_narrow_pre Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_to_cva6.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_to_cva6.md deleted file mode 100644 index 80b944982..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_to_cva6.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_to_cva6 -``` - -narrow -> cva6 - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## narrow_to_cva6 Type - -`integer` - -## narrow_to_cva6 Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_to_quad.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_to_quad.md deleted file mode 100644 index 14ca3f9c7..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_to_quad.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_to_quad -``` - -narrow xbar -> quad - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## narrow_to_quad Type - -`integer` - -## narrow_to_quad Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite.md deleted file mode 100644 index bce4192e9..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite -``` - -soc narrow -> periph axilite - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite Type - -`integer` - -## periph_axi_lite Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow.md deleted file mode 100644 index b7ea24101..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow -``` - -soc narrow -> periph regbus - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow Type - -`integer` - -## periph_axi_lite_narrow Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_bootrom_cfg.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_bootrom_cfg.md deleted file mode 100644 index 28d592c71..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_bootrom_cfg.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_bootrom_cfg -``` - -axi lite narrow cuts before regbus translation for bootrom_cfg - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow_bootrom_cfg Type - -`integer` - -## periph_axi_lite_narrow_bootrom_cfg Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_chip_ctrl_cfg.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_chip_ctrl_cfg.md deleted file mode 100644 index e1b2c6c6a..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_chip_ctrl_cfg.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_chip_ctrl_cfg -``` - -axi lite narrow cuts before regbus translation for chip_ctrl_cfg - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow_chip_ctrl_cfg Type - -`integer` - -## periph_axi_lite_narrow_chip_ctrl_cfg Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_clint_cfg.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_clint_cfg.md deleted file mode 100644 index a8185f2c7..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_clint_cfg.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_clint_cfg -``` - -axi lite narrow cuts before regbus translation for clint_cfg - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow_clint_cfg Type - -`integer` - -## periph_axi_lite_narrow_clint_cfg Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_fll_hbm2e_cfg.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_fll_hbm2e_cfg.md deleted file mode 100644 index d9ea808cb..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_fll_hbm2e_cfg.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_fll_hbm2e_cfg -``` - -axi lite narrow cuts before regbus translation for fll_hbm2e_cfg - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow_fll_hbm2e_cfg Type - -`integer` - -## periph_axi_lite_narrow_fll_hbm2e_cfg Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_fll_periph_cfg.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_fll_periph_cfg.md deleted file mode 100644 index efbc5db24..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_fll_periph_cfg.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_fll_periph_cfg -``` - -axi lite narrow cuts before regbus translation for fll_periph_cfg - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow_fll_periph_cfg Type - -`integer` - -## periph_axi_lite_narrow_fll_periph_cfg Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_fll_system_cfg.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_fll_system_cfg.md deleted file mode 100644 index 74e01cd34..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_fll_system_cfg.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_fll_system_cfg -``` - -axi lite narrow cuts before regbus translation for fll_system_cfg - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow_fll_system_cfg Type - -`integer` - -## periph_axi_lite_narrow_fll_system_cfg Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_gpio_cfg.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_gpio_cfg.md deleted file mode 100644 index 17d34985b..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_gpio_cfg.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_gpio_cfg -``` - -axi lite narrow cuts before regbus translation for gpio_cfg - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow_gpio_cfg Type - -`integer` - -## periph_axi_lite_narrow_gpio_cfg Default Value - -The default value is: - -```json -2 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbi_narrow_cfg.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbi_narrow_cfg.md deleted file mode 100644 index ff73941b7..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbi_narrow_cfg.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_hbi_narrow_cfg -``` - -axi lite narrow cuts before regbus translation for hbi_narrow_cfg - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow_hbi_narrow_cfg Type - -`integer` - -## periph_axi_lite_narrow_hbi_narrow_cfg Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbi_wide_cfg.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbi_wide_cfg.md deleted file mode 100644 index 464e96f12..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbi_wide_cfg.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_hbi_wide_cfg -``` - -axi lite narrow cuts before regbus translation for hbi_wide_cfg - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow_hbi_wide_cfg Type - -`integer` - -## periph_axi_lite_narrow_hbi_wide_cfg Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbm_cfg.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbm_cfg.md deleted file mode 100644 index 3d1ce1921..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbm_cfg.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_hbm_cfg -``` - -axi lite narrow cuts before regbus translation for hbm_cfg - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow_hbm_cfg Type - -`integer` - -## periph_axi_lite_narrow_hbm_cfg Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbm_xbar_cfg.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbm_xbar_cfg.md deleted file mode 100644 index c38ed6e8c..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbm_xbar_cfg.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_hbm_xbar_cfg -``` - -axi lite narrow cuts before regbus translation for hbm_xbar_cfg - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow_hbm_xbar_cfg Type - -`integer` - -## periph_axi_lite_narrow_hbm_xbar_cfg Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_i2c_cfg.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_i2c_cfg.md deleted file mode 100644 index 9559dcd01..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_i2c_cfg.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_i2c_cfg -``` - -axi lite narrow cuts before regbus translation for i2c_cfg - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow_i2c_cfg Type - -`integer` - -## periph_axi_lite_narrow_i2c_cfg Default Value - -The default value is: - -```json -2 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_pcie_cfg.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_pcie_cfg.md deleted file mode 100644 index cd08a9a97..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_pcie_cfg.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_pcie_cfg -``` - -axi lite narrow cuts before regbus translation for pcie_cfg - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow_pcie_cfg Type - -`integer` - -## periph_axi_lite_narrow_pcie_cfg Default Value - -The default value is: - -```json -2 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_plic_cfg.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_plic_cfg.md deleted file mode 100644 index 210568d2c..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_plic_cfg.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_plic_cfg -``` - -axi lite narrow cuts before regbus translation for plic_cfg - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow_plic_cfg Type - -`integer` - -## periph_axi_lite_narrow_plic_cfg Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_soc_ctrl_cfg.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_soc_ctrl_cfg.md deleted file mode 100644 index 183cff51f..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_soc_ctrl_cfg.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_soc_ctrl_cfg -``` - -axi lite narrow cuts before regbus translation for soc_ctrl_cfg - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow_soc_ctrl_cfg Type - -`integer` - -## periph_axi_lite_narrow_soc_ctrl_cfg Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_spim_cfg.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_spim_cfg.md deleted file mode 100644 index eeb937d71..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_spim_cfg.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_spim_cfg -``` - -axi lite narrow cuts before regbus translation for spim_cfg - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow_spim_cfg Type - -`integer` - -## periph_axi_lite_narrow_spim_cfg Default Value - -The default value is: - -```json -32 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_timer_cfg.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_timer_cfg.md deleted file mode 100644 index 59a969aa2..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_timer_cfg.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_timer_cfg -``` - -axi lite narrow cuts before regbus translation for timer_cfg - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow_timer_cfg Type - -`integer` - -## periph_axi_lite_narrow_timer_cfg Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_uart_cfg.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_uart_cfg.md deleted file mode 100644 index 240ff14b9..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_uart_cfg.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_uart_cfg -``` - -axi lite narrow cuts before regbus translation for uart_cfg - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## periph_axi_lite_narrow_uart_cfg Type - -`integer` - -## periph_axi_lite_narrow_uart_cfg Default Value - -The default value is: - -```json -2 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-pre_to_hbmx.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-pre_to_hbmx.md deleted file mode 100644 index 7239c5bad..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-pre_to_hbmx.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/pre_to_hbmx -``` - -pre xbar -> hbm xbar - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## pre_to_hbmx Type - -`integer` - -## pre_to_hbmx Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-pre_to_inter.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-pre_to_inter.md deleted file mode 100644 index 6f3639598..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-pre_to_inter.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/pre_to_inter -``` - -pre xbar -> inter xbar - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## pre_to_inter Type - -`integer` - -## pre_to_inter Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-quad_to_narrow.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-quad_to_narrow.md deleted file mode 100644 index 3555cb4a8..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-quad_to_narrow.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/quad_to_narrow -``` - -quad -> narrow xbar - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## quad_to_narrow Type - -`integer` - -## quad_to_narrow Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-quad_to_pre.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-quad_to_pre.md deleted file mode 100644 index 160d68bae..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-quad_to_pre.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/quad_to_pre -``` - -quad -> pre xbar - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## quad_to_pre Type - -`integer` - -## quad_to_pre Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_and_hbi.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_and_hbi.md deleted file mode 100644 index e824f1a78..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_and_hbi.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/wide_and_hbi -``` - -hbi <-> wide xbar - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## wide_and_hbi Type - -`integer` - -## wide_and_hbi Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_and_inter.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_and_inter.md deleted file mode 100644 index 74c8d3816..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_and_inter.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/wide_and_inter -``` - -inter xbar -> wide xbar & wide xbar -> inter xbar - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## wide_and_inter Type - -`integer` - -## wide_and_inter Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_conv_to_spm_wide.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_conv_to_spm_wide.md deleted file mode 100644 index 6fb5ff040..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_conv_to_spm_wide.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/wide_conv_to_spm_wide -``` - -wide xbar -> wide spm - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## wide_conv_to_spm_wide Type - -`integer` - -## wide_conv_to_spm_wide Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_to_hbm.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_to_hbm.md deleted file mode 100644 index 3efe9cbf5..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_to_hbm.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/wide_to_hbm -``` - -wide xbar -> hbm xbar - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## wide_to_hbm Type - -`integer` - -## wide_to_hbm Default Value - -The default value is: - -```json -6 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_to_wide_zero_mem.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_to_wide_zero_mem.md deleted file mode 100644 index 56ae12d79..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_to_wide_zero_mem.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/wide_to_wide_zero_mem -``` - -wide xbar -> wide zero memory - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## wide_to_wide_zero_mem Type - -`integer` diff --git a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus.md b/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus.md deleted file mode 100644 index 71915492c..000000000 --- a/docs/schema-doc/occamy-properties-number-of-cuts-on-the-axi-bus.md +++ /dev/null @@ -1,1084 +0,0 @@ -# Number of cuts on the AXI bus Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## cuts Type - -`object` ([Number of cuts on the AXI bus](occamy-properties-number-of-cuts-on-the-axi-bus.md)) - -# Number of cuts on the AXI bus Properties - -| Property | Type | Required | Nullable | Defined by | -| :------------------------------------------------------------------------------ | :-------- | :------- | :------------- | :------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [narrow_to_quad](#narrow_to_quad) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_to_quad.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_to_quad") | -| [quad_to_narrow](#quad_to_narrow) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-quad_to_narrow.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/quad_to_narrow") | -| [quad_to_pre](#quad_to_pre) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-quad_to_pre.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/quad_to_pre") | -| [pre_to_inter](#pre_to_inter) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-pre_to_inter.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/pre_to_inter") | -| [inter_to_quad](#inter_to_quad) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-inter_to_quad.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/inter_to_quad") | -| [narrow_to_cva6](#narrow_to_cva6) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_to_cva6.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_to_cva6") | -| [narrow_conv_to_spm_narrow_pre](#narrow_conv_to_spm_narrow_pre) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_conv_to_spm_narrow_pre.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_conv_to_spm_narrow_pre") | -| [narrow_conv_to_spm_narrow](#narrow_conv_to_spm_narrow) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_conv_to_spm_narrow.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_conv_to_spm_narrow") | -| [narrow_and_pcie](#narrow_and_pcie) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_and_pcie.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_and_pcie") | -| [narrow_and_wide](#narrow_and_wide) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_and_wide.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_and_wide") | -| [wide_conv_to_spm_wide](#wide_conv_to_spm_wide) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_conv_to_spm_wide.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/wide_conv_to_spm_wide") | -| [wide_to_wide_zero_mem](#wide_to_wide_zero_mem) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_to_wide_zero_mem.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/wide_to_wide_zero_mem") | -| [wide_to_hbm](#wide_to_hbm) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_to_hbm.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/wide_to_hbm") | -| [wide_and_inter](#wide_and_inter) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_and_inter.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/wide_and_inter") | -| [wide_and_hbi](#wide_and_hbi) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_and_hbi.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/wide_and_hbi") | -| [narrow_and_hbi](#narrow_and_hbi) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_and_hbi.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_and_hbi") | -| [pre_to_hbmx](#pre_to_hbmx) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-pre_to_hbmx.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/pre_to_hbmx") | -| [hbmx_to_hbm](#hbmx_to_hbm) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-hbmx_to_hbm.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/hbmx_to_hbm") | -| [atomic_adapter_narrow](#atomic_adapter_narrow) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-atomic_adapter_narrow.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/atomic_adapter_narrow") | -| [atomic_adapter_narrow_wide](#atomic_adapter_narrow_wide) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-atomic_adapter_narrow_wide.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/atomic_adapter_narrow_wide") | -| [periph_axi_lite_narrow](#periph_axi_lite_narrow) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow") | -| [periph_axi_lite](#periph_axi_lite) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite") | -| [periph_axi_lite_narrow_hbm_xbar_cfg](#periph_axi_lite_narrow_hbm_xbar_cfg) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbm_xbar_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_hbm_xbar_cfg") | -| [periph_axi_lite_narrow_hbi_wide_cfg](#periph_axi_lite_narrow_hbi_wide_cfg) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbi_wide_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_hbi_wide_cfg") | -| [periph_axi_lite_narrow_hbi_narrow_cfg](#periph_axi_lite_narrow_hbi_narrow_cfg) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbi_narrow_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_hbi_narrow_cfg") | -| [periph_axi_lite_narrow_pcie_cfg](#periph_axi_lite_narrow_pcie_cfg) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_pcie_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_pcie_cfg") | -| [periph_axi_lite_narrow_hbm_cfg](#periph_axi_lite_narrow_hbm_cfg) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbm_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_hbm_cfg") | -| [periph_axi_lite_narrow_clint_cfg](#periph_axi_lite_narrow_clint_cfg) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_clint_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_clint_cfg") | -| [periph_axi_lite_narrow_soc_ctrl_cfg](#periph_axi_lite_narrow_soc_ctrl_cfg) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_soc_ctrl_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_soc_ctrl_cfg") | -| [periph_axi_lite_narrow_chip_ctrl_cfg](#periph_axi_lite_narrow_chip_ctrl_cfg) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_chip_ctrl_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_chip_ctrl_cfg") | -| [periph_axi_lite_narrow_uart_cfg](#periph_axi_lite_narrow_uart_cfg) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_uart_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_uart_cfg") | -| [periph_axi_lite_narrow_bootrom_cfg](#periph_axi_lite_narrow_bootrom_cfg) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_bootrom_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_bootrom_cfg") | -| [periph_axi_lite_narrow_fll_system_cfg](#periph_axi_lite_narrow_fll_system_cfg) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_fll_system_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_fll_system_cfg") | -| [periph_axi_lite_narrow_fll_periph_cfg](#periph_axi_lite_narrow_fll_periph_cfg) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_fll_periph_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_fll_periph_cfg") | -| [periph_axi_lite_narrow_fll_hbm2e_cfg](#periph_axi_lite_narrow_fll_hbm2e_cfg) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_fll_hbm2e_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_fll_hbm2e_cfg") | -| [periph_axi_lite_narrow_plic_cfg](#periph_axi_lite_narrow_plic_cfg) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_plic_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_plic_cfg") | -| [periph_axi_lite_narrow_spim_cfg](#periph_axi_lite_narrow_spim_cfg) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_spim_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_spim_cfg") | -| [periph_axi_lite_narrow_gpio_cfg](#periph_axi_lite_narrow_gpio_cfg) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_gpio_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_gpio_cfg") | -| [periph_axi_lite_narrow_i2c_cfg](#periph_axi_lite_narrow_i2c_cfg) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_i2c_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_i2c_cfg") | -| [periph_axi_lite_narrow_timer_cfg](#periph_axi_lite_narrow_timer_cfg) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_timer_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_timer_cfg") | - -## narrow_to_quad - -narrow xbar -> quad - -`narrow_to_quad` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_to_quad.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_to_quad") - -### narrow_to_quad Type - -`integer` - -### narrow_to_quad Default Value - -The default value is: - -```json -3 -``` - -## quad_to_narrow - -quad -> narrow xbar - -`quad_to_narrow` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-quad_to_narrow.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/quad_to_narrow") - -### quad_to_narrow Type - -`integer` - -### quad_to_narrow Default Value - -The default value is: - -```json -3 -``` - -## quad_to_pre - -quad -> pre xbar - -`quad_to_pre` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-quad_to_pre.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/quad_to_pre") - -### quad_to_pre Type - -`integer` - -### quad_to_pre Default Value - -The default value is: - -```json -1 -``` - -## pre_to_inter - -pre xbar -> inter xbar - -`pre_to_inter` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-pre_to_inter.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/pre_to_inter") - -### pre_to_inter Type - -`integer` - -### pre_to_inter Default Value - -The default value is: - -```json -1 -``` - -## inter_to_quad - -inter xbar -> quad - -`inter_to_quad` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-inter_to_quad.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/inter_to_quad") - -### inter_to_quad Type - -`integer` - -### inter_to_quad Default Value - -The default value is: - -```json -3 -``` - -## narrow_to_cva6 - -narrow -> cva6 - -`narrow_to_cva6` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_to_cva6.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_to_cva6") - -### narrow_to_cva6 Type - -`integer` - -### narrow_to_cva6 Default Value - -The default value is: - -```json -1 -``` - -## narrow_conv_to_spm_narrow_pre - -narrow -> SPM pre atomic adapter - -`narrow_conv_to_spm_narrow_pre` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_conv_to_spm_narrow_pre.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_conv_to_spm_narrow_pre") - -### narrow_conv_to_spm_narrow_pre Type - -`integer` - -### narrow_conv_to_spm_narrow_pre Default Value - -The default value is: - -```json -1 -``` - -## narrow_conv_to_spm_narrow - -narrow -> SPM post atomic adapter - -`narrow_conv_to_spm_narrow` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_conv_to_spm_narrow.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_conv_to_spm_narrow") - -### narrow_conv_to_spm_narrow Type - -`integer` - -### narrow_conv_to_spm_narrow Default Value - -The default value is: - -```json -1 -``` - -## narrow_and_pcie - -PCIe in and out - -`narrow_and_pcie` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_and_pcie.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_and_pcie") - -### narrow_and_pcie Type - -`integer` - -### narrow_and_pcie Default Value - -The default value is: - -```json -1 -``` - -## narrow_and_wide - -narrow xbar -> wide xbar & wide xbar -> narrow xbar - -`narrow_and_wide` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_and_wide.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_and_wide") - -### narrow_and_wide Type - -`integer` - -## wide_conv_to_spm_wide - -wide xbar -> wide spm - -`wide_conv_to_spm_wide` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_conv_to_spm_wide.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/wide_conv_to_spm_wide") - -### wide_conv_to_spm_wide Type - -`integer` - -### wide_conv_to_spm_wide Default Value - -The default value is: - -```json -1 -``` - -## wide_to_wide_zero_mem - -wide xbar -> wide zero memory - -`wide_to_wide_zero_mem` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_to_wide_zero_mem.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/wide_to_wide_zero_mem") - -### wide_to_wide_zero_mem Type - -`integer` - -## wide_to_hbm - -wide xbar -> hbm xbar - -`wide_to_hbm` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_to_hbm.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/wide_to_hbm") - -### wide_to_hbm Type - -`integer` - -### wide_to_hbm Default Value - -The default value is: - -```json -6 -``` - -## wide_and_inter - -inter xbar -> wide xbar & wide xbar -> inter xbar - -`wide_and_inter` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_and_inter.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/wide_and_inter") - -### wide_and_inter Type - -`integer` - -### wide_and_inter Default Value - -The default value is: - -```json -3 -``` - -## wide_and_hbi - -hbi <-> wide xbar - -`wide_and_hbi` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-wide_and_hbi.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/wide_and_hbi") - -### wide_and_hbi Type - -`integer` - -### wide_and_hbi Default Value - -The default value is: - -```json -3 -``` - -## narrow_and_hbi - -hbi <-> narrow xbar - -`narrow_and_hbi` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-narrow_and_hbi.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/narrow_and_hbi") - -### narrow_and_hbi Type - -`integer` - -### narrow_and_hbi Default Value - -The default value is: - -```json -3 -``` - -## pre_to_hbmx - -pre xbar -> hbm xbar - -`pre_to_hbmx` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-pre_to_hbmx.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/pre_to_hbmx") - -### pre_to_hbmx Type - -`integer` - -### pre_to_hbmx Default Value - -The default value is: - -```json -3 -``` - -## hbmx_to_hbm - -hbmx -> hbm - -`hbmx_to_hbm` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-hbmx_to_hbm.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/hbmx_to_hbm") - -### hbmx_to_hbm Type - -`integer` - -### hbmx_to_hbm Default Value - -The default value is: - -```json -3 -``` - -## atomic_adapter_narrow - -narrow spm atomic adapter internal cuts - -`atomic_adapter_narrow` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-atomic_adapter_narrow.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/atomic_adapter_narrow") - -### atomic_adapter_narrow Type - -`integer` - -### atomic_adapter_narrow Default Value - -The default value is: - -```json -1 -``` - -## atomic_adapter_narrow_wide - -narrow_to_wide atomic adapter internal cuts - -`atomic_adapter_narrow_wide` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-atomic_adapter_narrow_wide.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/atomic_adapter_narrow_wide") - -### atomic_adapter_narrow_wide Type - -`integer` - -### atomic_adapter_narrow_wide Default Value - -The default value is: - -```json -1 -``` - -## periph_axi_lite_narrow - -soc narrow -> periph regbus - -`periph_axi_lite_narrow` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow") - -### periph_axi_lite_narrow Type - -`integer` - -### periph_axi_lite_narrow Default Value - -The default value is: - -```json -3 -``` - -## periph_axi_lite - -soc narrow -> periph axilite - -`periph_axi_lite` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite") - -### periph_axi_lite Type - -`integer` - -### periph_axi_lite Default Value - -The default value is: - -```json -3 -``` - -## periph_axi_lite_narrow_hbm_xbar_cfg - -axi lite narrow cuts before regbus translation for hbm_xbar_cfg - -`periph_axi_lite_narrow_hbm_xbar_cfg` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbm_xbar_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_hbm_xbar_cfg") - -### periph_axi_lite_narrow_hbm_xbar_cfg Type - -`integer` - -### periph_axi_lite_narrow_hbm_xbar_cfg Default Value - -The default value is: - -```json -3 -``` - -## periph_axi_lite_narrow_hbi_wide_cfg - -axi lite narrow cuts before regbus translation for hbi_wide_cfg - -`periph_axi_lite_narrow_hbi_wide_cfg` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbi_wide_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_hbi_wide_cfg") - -### periph_axi_lite_narrow_hbi_wide_cfg Type - -`integer` - -### periph_axi_lite_narrow_hbi_wide_cfg Default Value - -The default value is: - -```json -3 -``` - -## periph_axi_lite_narrow_hbi_narrow_cfg - -axi lite narrow cuts before regbus translation for hbi_narrow_cfg - -`periph_axi_lite_narrow_hbi_narrow_cfg` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbi_narrow_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_hbi_narrow_cfg") - -### periph_axi_lite_narrow_hbi_narrow_cfg Type - -`integer` - -### periph_axi_lite_narrow_hbi_narrow_cfg Default Value - -The default value is: - -```json -3 -``` - -## periph_axi_lite_narrow_pcie_cfg - -axi lite narrow cuts before regbus translation for pcie_cfg - -`periph_axi_lite_narrow_pcie_cfg` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_pcie_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_pcie_cfg") - -### periph_axi_lite_narrow_pcie_cfg Type - -`integer` - -### periph_axi_lite_narrow_pcie_cfg Default Value - -The default value is: - -```json -2 -``` - -## periph_axi_lite_narrow_hbm_cfg - -axi lite narrow cuts before regbus translation for hbm_cfg - -`periph_axi_lite_narrow_hbm_cfg` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_hbm_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_hbm_cfg") - -### periph_axi_lite_narrow_hbm_cfg Type - -`integer` - -### periph_axi_lite_narrow_hbm_cfg Default Value - -The default value is: - -```json -3 -``` - -## periph_axi_lite_narrow_clint_cfg - -axi lite narrow cuts before regbus translation for clint_cfg - -`periph_axi_lite_narrow_clint_cfg` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_clint_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_clint_cfg") - -### periph_axi_lite_narrow_clint_cfg Type - -`integer` - -### periph_axi_lite_narrow_clint_cfg Default Value - -The default value is: - -```json -1 -``` - -## periph_axi_lite_narrow_soc_ctrl_cfg - -axi lite narrow cuts before regbus translation for soc_ctrl_cfg - -`periph_axi_lite_narrow_soc_ctrl_cfg` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_soc_ctrl_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_soc_ctrl_cfg") - -### periph_axi_lite_narrow_soc_ctrl_cfg Type - -`integer` - -### periph_axi_lite_narrow_soc_ctrl_cfg Default Value - -The default value is: - -```json -1 -``` - -## periph_axi_lite_narrow_chip_ctrl_cfg - -axi lite narrow cuts before regbus translation for chip_ctrl_cfg - -`periph_axi_lite_narrow_chip_ctrl_cfg` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_chip_ctrl_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_chip_ctrl_cfg") - -### periph_axi_lite_narrow_chip_ctrl_cfg Type - -`integer` - -### periph_axi_lite_narrow_chip_ctrl_cfg Default Value - -The default value is: - -```json -1 -``` - -## periph_axi_lite_narrow_uart_cfg - -axi lite narrow cuts before regbus translation for uart_cfg - -`periph_axi_lite_narrow_uart_cfg` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_uart_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_uart_cfg") - -### periph_axi_lite_narrow_uart_cfg Type - -`integer` - -### periph_axi_lite_narrow_uart_cfg Default Value - -The default value is: - -```json -2 -``` - -## periph_axi_lite_narrow_bootrom_cfg - -axi lite narrow cuts before regbus translation for bootrom_cfg - -`periph_axi_lite_narrow_bootrom_cfg` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_bootrom_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_bootrom_cfg") - -### periph_axi_lite_narrow_bootrom_cfg Type - -`integer` - -### periph_axi_lite_narrow_bootrom_cfg Default Value - -The default value is: - -```json -3 -``` - -## periph_axi_lite_narrow_fll_system_cfg - -axi lite narrow cuts before regbus translation for fll_system_cfg - -`periph_axi_lite_narrow_fll_system_cfg` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_fll_system_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_fll_system_cfg") - -### periph_axi_lite_narrow_fll_system_cfg Type - -`integer` - -### periph_axi_lite_narrow_fll_system_cfg Default Value - -The default value is: - -```json -3 -``` - -## periph_axi_lite_narrow_fll_periph_cfg - -axi lite narrow cuts before regbus translation for fll_periph_cfg - -`periph_axi_lite_narrow_fll_periph_cfg` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_fll_periph_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_fll_periph_cfg") - -### periph_axi_lite_narrow_fll_periph_cfg Type - -`integer` - -### periph_axi_lite_narrow_fll_periph_cfg Default Value - -The default value is: - -```json -3 -``` - -## periph_axi_lite_narrow_fll_hbm2e_cfg - -axi lite narrow cuts before regbus translation for fll_hbm2e_cfg - -`periph_axi_lite_narrow_fll_hbm2e_cfg` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_fll_hbm2e_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_fll_hbm2e_cfg") - -### periph_axi_lite_narrow_fll_hbm2e_cfg Type - -`integer` - -### periph_axi_lite_narrow_fll_hbm2e_cfg Default Value - -The default value is: - -```json -3 -``` - -## periph_axi_lite_narrow_plic_cfg - -axi lite narrow cuts before regbus translation for plic_cfg - -`periph_axi_lite_narrow_plic_cfg` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_plic_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_plic_cfg") - -### periph_axi_lite_narrow_plic_cfg Type - -`integer` - -### periph_axi_lite_narrow_plic_cfg Default Value - -The default value is: - -```json -1 -``` - -## periph_axi_lite_narrow_spim_cfg - -axi lite narrow cuts before regbus translation for spim_cfg - -`periph_axi_lite_narrow_spim_cfg` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_spim_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_spim_cfg") - -### periph_axi_lite_narrow_spim_cfg Type - -`integer` - -### periph_axi_lite_narrow_spim_cfg Default Value - -The default value is: - -```json -32 -``` - -## periph_axi_lite_narrow_gpio_cfg - -axi lite narrow cuts before regbus translation for gpio_cfg - -`periph_axi_lite_narrow_gpio_cfg` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_gpio_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_gpio_cfg") - -### periph_axi_lite_narrow_gpio_cfg Type - -`integer` - -### periph_axi_lite_narrow_gpio_cfg Default Value - -The default value is: - -```json -2 -``` - -## periph_axi_lite_narrow_i2c_cfg - -axi lite narrow cuts before regbus translation for i2c_cfg - -`periph_axi_lite_narrow_i2c_cfg` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_i2c_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_i2c_cfg") - -### periph_axi_lite_narrow_i2c_cfg Type - -`integer` - -### periph_axi_lite_narrow_i2c_cfg Default Value - -The default value is: - -```json -2 -``` - -## periph_axi_lite_narrow_timer_cfg - -axi lite narrow cuts before regbus translation for timer_cfg - -`periph_axi_lite_narrow_timer_cfg` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus-properties-periph_axi_lite_narrow_timer_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts/properties/periph_axi_lite_narrow_timer_cfg") - -### periph_axi_lite_narrow_timer_cfg Type - -`integer` - -### periph_axi_lite_narrow_timer_cfg Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-narrow_and_wide.md b/docs/schema-doc/occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-narrow_and_wide.md deleted file mode 100644 index 6e69430ee..000000000 --- a/docs/schema-doc/occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-narrow_and_wide.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/txns/properties/narrow_and_wide -``` - -narrow xbar -> wide xbar & wide xbar -> narrow xbar - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## narrow_and_wide Type - -`integer` - -## narrow_and_wide Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-rmq.md b/docs/schema-doc/occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-rmq.md deleted file mode 100644 index 72564d044..000000000 --- a/docs/schema-doc/occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-rmq.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/txns/properties/rmq -``` - -Remote Quadrant mux/demux - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## rmq Type - -`integer` - -## rmq Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-wide_and_inter.md b/docs/schema-doc/occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-wide_and_inter.md deleted file mode 100644 index 5f1e48752..000000000 --- a/docs/schema-doc/occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-wide_and_inter.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/txns/properties/wide_and_inter -``` - -inter xbar -> wide xbar & wide xbar -> inter xbar - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## wide_and_inter Type - -`integer` - -## wide_and_inter Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-wide_to_hbm.md b/docs/schema-doc/occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-wide_to_hbm.md deleted file mode 100644 index f9d4c1cdd..000000000 --- a/docs/schema-doc/occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-wide_to_hbm.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/txns/properties/wide_to_hbm -``` - -wide xbar -> hbm xbar - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## wide_to_hbm Type - -`integer` - -## wide_to_hbm Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-outstanding-transactions-on-the-axi-bus.md b/docs/schema-doc/occamy-properties-number-of-outstanding-transactions-on-the-axi-bus.md deleted file mode 100644 index a0f448528..000000000 --- a/docs/schema-doc/occamy-properties-number-of-outstanding-transactions-on-the-axi-bus.md +++ /dev/null @@ -1,128 +0,0 @@ -# Number of outstanding transactions on the AXI bus Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/txns -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## txns Type - -`object` ([Number of outstanding transactions on the AXI bus](occamy-properties-number-of-outstanding-transactions-on-the-axi-bus.md)) - -# Number of outstanding transactions on the AXI bus Properties - -| Property | Type | Required | Nullable | Defined by | -| :---------------------------------- | :-------- | :------- | :------------- | :------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [wide_and_inter](#wide_and_inter) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-wide_and_inter.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/txns/properties/wide_and_inter") | -| [wide_to_hbm](#wide_to_hbm) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-wide_to_hbm.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/txns/properties/wide_to_hbm") | -| [narrow_and_wide](#narrow_and_wide) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-narrow_and_wide.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/txns/properties/narrow_and_wide") | -| [rmq](#rmq) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-rmq.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/txns/properties/rmq") | - -## wide_and_inter - -inter xbar -> wide xbar & wide xbar -> inter xbar - -`wide_and_inter` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-wide_and_inter.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/txns/properties/wide_and_inter") - -### wide_and_inter Type - -`integer` - -### wide_and_inter Default Value - -The default value is: - -```json -4 -``` - -## wide_to_hbm - -wide xbar -> hbm xbar - -`wide_to_hbm` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-wide_to_hbm.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/txns/properties/wide_to_hbm") - -### wide_to_hbm Type - -`integer` - -### wide_to_hbm Default Value - -The default value is: - -```json -4 -``` - -## narrow_and_wide - -narrow xbar -> wide xbar & wide xbar -> narrow xbar - -`narrow_and_wide` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-narrow_and_wide.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/txns/properties/narrow_and_wide") - -### narrow_and_wide Type - -`integer` - -### narrow_and_wide Default Value - -The default value is: - -```json -4 -``` - -## rmq - -Remote Quadrant mux/demux - -`rmq` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-outstanding-transactions-on-the-axi-bus-properties-rmq.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/txns/properties/rmq") - -### rmq Type - -`integer` - -### rmq Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/occamy-properties-number-of-s1-quadrants.md b/docs/schema-doc/occamy-properties-number-of-s1-quadrants.md deleted file mode 100644 index ce01c3704..000000000 --- a/docs/schema-doc/occamy-properties-number-of-s1-quadrants.md +++ /dev/null @@ -1,23 +0,0 @@ -# Number of S1 Quadrants Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/nr_s1_quadrant -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## nr_s1\_quadrant Type - -`integer` ([Number of S1 Quadrants](occamy-properties-number-of-s1-quadrants.md)) - -## nr_s1\_quadrant Default Value - -The default value is: - -```json -8 -``` diff --git a/docs/schema-doc/occamy-properties-peripherals-schema.md b/docs/schema-doc/occamy-properties-peripherals-schema.md deleted file mode 100644 index 4317a5cf6..000000000 --- a/docs/schema-doc/occamy-properties-peripherals-schema.md +++ /dev/null @@ -1,126 +0,0 @@ -# Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/peripherals -``` - -Description of an a peripheral sub-system. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## peripherals Type - -`object` ([Peripherals Schema](occamy-properties-peripherals-schema.md)) - -# Peripherals Schema Properties - -| Property | Type | Required | Nullable | Defined by | -| :-------------------------------------------- | :------- | :------- | :------------- | :-------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [rom](#rom) | `object` | Optional | cannot be null | [Peripherals Schema](peripherals-properties-rom.md "http://pulp-platform.org/snitch/peripherals.schema.json#/properties/rom") | -| [clint](#clint) | `object` | Optional | cannot be null | [Peripherals Schema](peripherals-properties-clint.md "http://pulp-platform.org/snitch/peripherals.schema.json#/properties/clint") | -| [axi_lite_peripherals](#axi_lite_peripherals) | `array` | Optional | cannot be null | [Peripherals Schema](peripherals-properties-axi_lite_peripherals.md "http://pulp-platform.org/snitch/peripherals.schema.json#/properties/axi_lite_peripherals") | -| [regbus_peripherals](#regbus_peripherals) | `array` | Optional | cannot be null | [Peripherals Schema](peripherals-properties-regbus_peripherals.md "http://pulp-platform.org/snitch/peripherals.schema.json#/properties/regbus_peripherals") | - -## rom - -Read-only memory from which *all* harts of the system start to boot. - -`rom` - -* is optional - -* Type: `object` ([Details](peripherals-properties-rom.md)) - -* cannot be null - -* defined in: [Peripherals Schema](peripherals-properties-rom.md "http://pulp-platform.org/snitch/peripherals.schema.json#/properties/rom") - -### rom Type - -`object` ([Details](peripherals-properties-rom.md)) - -### rom Default Value - -The default value is: - -```json -{ - "address": 16777216, - "length": 131072 -} -``` - -## clint - -Core-local Interrupt Controller (CLINT) peripheral. - -`clint` - -* is optional - -* Type: `object` ([Details](peripherals-properties-clint.md)) - -* cannot be null - -* defined in: [Peripherals Schema](peripherals-properties-clint.md "http://pulp-platform.org/snitch/peripherals.schema.json#/properties/clint") - -### clint Type - -`object` ([Details](peripherals-properties-clint.md)) - -### clint Default Value - -The default value is: - -```json -{ - "address": 67108864, - "length": 1048576 -} -``` - -## axi_lite_peripherals - - - -`axi_lite_peripherals` - -* is optional - -* Type: unknown\[] - -* cannot be null - -* defined in: [Peripherals Schema](peripherals-properties-axi_lite_peripherals.md "http://pulp-platform.org/snitch/peripherals.schema.json#/properties/axi_lite_peripherals") - -### axi_lite_peripherals Type - -unknown\[] - -### axi_lite_peripherals Constraints - -**unique items**: all items in this array must be unique. Duplicates are not allowed. - -## regbus_peripherals - - - -`regbus_peripherals` - -* is optional - -* Type: unknown\[] - -* cannot be null - -* defined in: [Peripherals Schema](peripherals-properties-regbus_peripherals.md "http://pulp-platform.org/snitch/peripherals.schema.json#/properties/regbus_peripherals") - -### regbus_peripherals Type - -unknown\[] - -### regbus_peripherals Constraints - -**unique items**: all items in this array must be unique. Duplicates are not allowed. diff --git a/docs/schema-doc/occamy-properties-pre_xbar_slv_id_width_no_rocache.md b/docs/schema-doc/occamy-properties-pre_xbar_slv_id_width_no_rocache.md deleted file mode 100644 index 96ed16d5e..000000000 --- a/docs/schema-doc/occamy-properties-pre_xbar_slv_id_width_no_rocache.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/pre_xbar_slv_id_width_no_rocache -``` - -ID width of quadrant pre-crossbar slave ports assuming no read-only cache. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## pre_xbar_slv_id_width_no_rocache Type - -`integer` - -## pre_xbar_slv_id_width_no_rocache Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-remote-quadrants-remote-quadrant-description-properties-nr_cluster_cores.md b/docs/schema-doc/occamy-properties-remote-quadrants-remote-quadrant-description-properties-nr_cluster_cores.md deleted file mode 100644 index 027a745b6..000000000 --- a/docs/schema-doc/occamy-properties-remote-quadrants-remote-quadrant-description-properties-nr_cluster_cores.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/remote_quadrants/items/properties/nr_cluster_cores -``` - -Number of cores in a cluster - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## nr_cluster_cores Type - -`integer` - -## nr_cluster_cores Default Value - -The default value is: - -```json -8 -``` diff --git a/docs/schema-doc/occamy-properties-remote-quadrants-remote-quadrant-description-properties-nr_clusters.md b/docs/schema-doc/occamy-properties-remote-quadrants-remote-quadrant-description-properties-nr_clusters.md deleted file mode 100644 index 02963e4a1..000000000 --- a/docs/schema-doc/occamy-properties-remote-quadrants-remote-quadrant-description-properties-nr_clusters.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/remote_quadrants/items/properties/nr_clusters -``` - -Number of clusters in an S1 quadrant. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## nr_clusters Type - -`integer` - -## nr_clusters Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/occamy-properties-remote-quadrants-remote-quadrant-description.md b/docs/schema-doc/occamy-properties-remote-quadrants-remote-quadrant-description.md deleted file mode 100644 index 67ec31a53..000000000 --- a/docs/schema-doc/occamy-properties-remote-quadrants-remote-quadrant-description.md +++ /dev/null @@ -1,74 +0,0 @@ -# Remote Quadrant Description Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/remote_quadrants/items -``` - -Description of a remote quadrant - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## items Type - -`object` ([Remote Quadrant Description](occamy-properties-remote-quadrants-remote-quadrant-description.md)) - -# Remote Quadrant Description Properties - -| Property | Type | Required | Nullable | Defined by | -| :------------------------------------ | :-------- | :------- | :------------- | :---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [nr_clusters](#nr_clusters) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-remote-quadrants-remote-quadrant-description-properties-nr_clusters.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/remote_quadrants/items/properties/nr_clusters") | -| [nr_cluster_cores](#nr_cluster_cores) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-remote-quadrants-remote-quadrant-description-properties-nr_cluster_cores.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/remote_quadrants/items/properties/nr_cluster_cores") | - -## nr_clusters - -Number of clusters in an S1 quadrant. - -`nr_clusters` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-remote-quadrants-remote-quadrant-description-properties-nr_clusters.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/remote_quadrants/items/properties/nr_clusters") - -### nr_clusters Type - -`integer` - -### nr_clusters Default Value - -The default value is: - -```json -4 -``` - -## nr_cluster_cores - -Number of cores in a cluster - -`nr_cluster_cores` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-remote-quadrants-remote-quadrant-description-properties-nr_cluster_cores.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/remote_quadrants/items/properties/nr_cluster_cores") - -### nr_cluster_cores Type - -`integer` - -### nr_cluster_cores Default Value - -The default value is: - -```json -8 -``` diff --git a/docs/schema-doc/occamy-properties-remote-quadrants.md b/docs/schema-doc/occamy-properties-remote-quadrants.md deleted file mode 100644 index 6207c2def..000000000 --- a/docs/schema-doc/occamy-properties-remote-quadrants.md +++ /dev/null @@ -1,19 +0,0 @@ -# Remote Quadrants Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/remote_quadrants -``` - -List of attached remote quadrants - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## remote_quadrants Type - -`object[]` ([Remote Quadrant Description](occamy-properties-remote-quadrants-remote-quadrant-description.md)) - -## remote_quadrants Constraints - -**minimum number of items**: the minimum number of items for this array is: `0` diff --git a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-cfg_base_addr.md b/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-cfg_base_addr.md deleted file mode 100644 index a67aa2af3..000000000 --- a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-cfg_base_addr.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/cfg_base_addr -``` - -Base address of the quadrant configuration region. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## cfg_base_addr Type - -`integer` - -## cfg_base_addr Default Value - -The default value is: - -```json -184549376 -``` diff --git a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-cfg_base_offset.md b/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-cfg_base_offset.md deleted file mode 100644 index 07c9b0a69..000000000 --- a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-cfg_base_offset.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/cfg_base_offset -``` - -Allocated size and offset of each quadrant configuration. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## cfg_base_offset Type - -`integer` - -## cfg_base_offset Default Value - -The default value is: - -```json -65536 -``` diff --git a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-narrow_xbar_slv_id_width.md b/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-narrow_xbar_slv_id_width.md deleted file mode 100644 index bd613eda7..000000000 --- a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-narrow_xbar_slv_id_width.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/narrow_xbar_slv_id_width -``` - -ID width of narrow quadrant crossbar slave ports. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## narrow_xbar_slv_id_width Type - -`integer` - -## narrow_xbar_slv_id_width Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-nr_clusters.md b/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-nr_clusters.md deleted file mode 100644 index 28bc726f6..000000000 --- a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-nr_clusters.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/nr_clusters -``` - -Number of clusters in an S1 quadrant. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## nr_clusters Type - -`integer` - -## nr_clusters Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-address_regions.md b/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-address_regions.md deleted file mode 100644 index 1bcaa7670..000000000 --- a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-address_regions.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/ro_cache_cfg/properties/address_regions -``` - -Number of programmable address regions. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## address_regions Type - -`integer` - -## address_regions Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-count.md b/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-count.md deleted file mode 100644 index 0cfc022ed..000000000 --- a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-count.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/ro_cache_cfg/properties/count -``` - -The number of cache lines per set. Power of two; >= 2. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## count Type - -`integer` diff --git a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-max_trans.md b/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-max_trans.md deleted file mode 100644 index ff1355e8e..000000000 --- a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-max_trans.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/ro_cache_cfg/properties/max_trans -``` - -Maximum Outstanding Transaction - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## max_trans Type - -`integer` - -## max_trans Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-sets.md b/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-sets.md deleted file mode 100644 index af08092a9..000000000 --- a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-sets.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/ro_cache_cfg/properties/sets -``` - -The set associativity of the cache. Power of two; >= 1. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## sets Type - -`integer` diff --git a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-width.md b/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-width.md deleted file mode 100644 index cf8de3950..000000000 --- a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-width.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/ro_cache_cfg/properties/width -``` - -Cache Line Width - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## width Type - -`integer` diff --git a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg.md b/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg.md deleted file mode 100644 index c8acedb24..000000000 --- a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg.md +++ /dev/null @@ -1,131 +0,0 @@ -# Untitled object in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/ro_cache_cfg -``` - -Constant cache configuration. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## ro_cache_cfg Type - -`object` ([Details](occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg.md)) - -# undefined Properties - -| Property | Type | Required | Nullable | Defined by | -| :---------------------------------- | :-------- | :------- | :------------- | :----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [max_trans](#max_trans) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-max_trans.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/ro_cache_cfg/properties/max_trans") | -| [width](#width) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-width.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/ro_cache_cfg/properties/width") | -| [count](#count) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-count.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/ro_cache_cfg/properties/count") | -| [sets](#sets) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-sets.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/ro_cache_cfg/properties/sets") | -| [address_regions](#address_regions) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-address_regions.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/ro_cache_cfg/properties/address_regions") | - -## max_trans - -Maximum Outstanding Transaction - -`max_trans` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-max_trans.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1\_quadrant/properties/ro_cache_cfg/properties/max_trans") - -### max_trans Type - -`integer` - -### max_trans Default Value - -The default value is: - -```json -4 -``` - -## width - -Cache Line Width - -`width` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-width.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1\_quadrant/properties/ro_cache_cfg/properties/width") - -### width Type - -`integer` - -## count - -The number of cache lines per set. Power of two; >= 2. - -`count` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-count.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1\_quadrant/properties/ro_cache_cfg/properties/count") - -### count Type - -`integer` - -## sets - -The set associativity of the cache. Power of two; >= 1. - -`sets` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-sets.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1\_quadrant/properties/ro_cache_cfg/properties/sets") - -### sets Type - -`integer` - -## address_regions - -Number of programmable address regions. - -`address_regions` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg-properties-address_regions.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1\_quadrant/properties/ro_cache_cfg/properties/address_regions") - -### address_regions Type - -`integer` - -### address_regions Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-wide_xbar_slv_id_width.md b/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-wide_xbar_slv_id_width.md deleted file mode 100644 index 1acec7707..000000000 --- a/docs/schema-doc/occamy-properties-s1-quadrant-properties-properties-wide_xbar_slv_id_width.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled integer in Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/wide_xbar_slv_id_width -``` - -ID width of wide quadrant crossbar slave ports. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## wide_xbar_slv_id_width Type - -`integer` - -## wide_xbar_slv_id_width Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/occamy-properties-s1-quadrant-properties.md b/docs/schema-doc/occamy-properties-s1-quadrant-properties.md deleted file mode 100644 index 75db95587..000000000 --- a/docs/schema-doc/occamy-properties-s1-quadrant-properties.md +++ /dev/null @@ -1,212 +0,0 @@ -# S1 Quadrant Properties Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## s1\_quadrant Type - -`object` ([S1 Quadrant Properties](occamy-properties-s1-quadrant-properties.md)) - -# S1 Quadrant Properties Properties - -| Property | Type | Required | Nullable | Defined by | -| :---------------------------------------------------- | :-------- | :------- | :------------- | :----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [nr_clusters](#nr_clusters) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-nr_clusters.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/nr_clusters") | -| [ro_cache_cfg](#ro_cache_cfg) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/ro_cache_cfg") | -| [wide_xbar](#wide_xbar) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-axi-crossbar-schema-4.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/s1_quadrant/properties/wide_xbar") | -| [wide_xbar_slv_id_width](#wide_xbar_slv_id_width) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-wide_xbar_slv_id_width.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/wide_xbar_slv_id_width") | -| [narrow_xbar](#narrow_xbar) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-axi-crossbar-schema-4.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/s1_quadrant/properties/narrow_xbar") | -| [narrow_xbar_slv_id_width](#narrow_xbar_slv_id_width) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-narrow_xbar_slv_id_width.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/narrow_xbar_slv_id_width") | -| [cfg_base_addr](#cfg_base_addr) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-cfg_base_addr.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/cfg_base_addr") | -| [cfg_base_offset](#cfg_base_offset) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-cfg_base_offset.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant/properties/cfg_base_offset") | - -## nr_clusters - -Number of clusters in an S1 quadrant. - -`nr_clusters` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-nr_clusters.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1\_quadrant/properties/nr_clusters") - -### nr_clusters Type - -`integer` - -### nr_clusters Default Value - -The default value is: - -```json -4 -``` - -## ro_cache_cfg - -Constant cache configuration. - -`ro_cache_cfg` - -* is optional - -* Type: `object` ([Details](occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1\_quadrant/properties/ro_cache_cfg") - -### ro_cache_cfg Type - -`object` ([Details](occamy-properties-s1-quadrant-properties-properties-ro_cache_cfg.md)) - -## wide_xbar - -AXI Crossbar Properties - -`wide_xbar` - -* is optional - -* Type: `object` ([AXI Crossbar Schema](occamy-properties-axi-crossbar-schema-4.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-axi-crossbar-schema-4.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/s1\_quadrant/properties/wide_xbar") - -### wide_xbar Type - -`object` ([AXI Crossbar Schema](occamy-properties-axi-crossbar-schema-4.md)) - -## wide_xbar_slv_id_width - -ID width of wide quadrant crossbar slave ports. - -`wide_xbar_slv_id_width` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-wide_xbar_slv_id_width.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1\_quadrant/properties/wide_xbar_slv_id_width") - -### wide_xbar_slv_id_width Type - -`integer` - -### wide_xbar_slv_id_width Default Value - -The default value is: - -```json -3 -``` - -## narrow_xbar - -AXI Crossbar Properties - -`narrow_xbar` - -* is optional - -* Type: `object` ([AXI Crossbar Schema](occamy-properties-axi-crossbar-schema-4.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-axi-crossbar-schema-4.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/s1\_quadrant/properties/narrow_xbar") - -### narrow_xbar Type - -`object` ([AXI Crossbar Schema](occamy-properties-axi-crossbar-schema-4.md)) - -## narrow_xbar_slv_id_width - -ID width of narrow quadrant crossbar slave ports. - -`narrow_xbar_slv_id_width` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-narrow_xbar_slv_id_width.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1\_quadrant/properties/narrow_xbar_slv_id_width") - -### narrow_xbar_slv_id_width Type - -`integer` - -### narrow_xbar_slv_id_width Default Value - -The default value is: - -```json -4 -``` - -## cfg_base_addr - -Base address of the quadrant configuration region. - -`cfg_base_addr` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-cfg_base_addr.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1\_quadrant/properties/cfg_base_addr") - -### cfg_base_addr Type - -`integer` - -### cfg_base_addr Default Value - -The default value is: - -```json -184549376 -``` - -## cfg_base_offset - -Allocated size and offset of each quadrant configuration. - -`cfg_base_offset` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-s1-quadrant-properties-properties-cfg_base_offset.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1\_quadrant/properties/cfg_base_offset") - -### cfg_base_offset Type - -`integer` - -### cfg_base_offset Default Value - -The default value is: - -```json -65536 -``` diff --git a/docs/schema-doc/occamy-properties-snitch-cluster-schema.md b/docs/schema-doc/occamy-properties-snitch-cluster-schema.md deleted file mode 100644 index e884260f8..000000000 --- a/docs/schema-doc/occamy-properties-snitch-cluster-schema.md +++ /dev/null @@ -1,666 +0,0 @@ -# Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/cluster -``` - -Base description of a Snitch cluster and its internal structure and configuration. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :--------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [occamy.schema.json*](occamy.schema.json "open original schema") | - -## cluster Type - -`object` ([Snitch Cluster Schema](occamy-properties-snitch-cluster-schema.md)) - -# Snitch Cluster Schema Properties - -| Property | Type | Required | Nullable | Defined by | -| :------------------------------------------------ | :-------- | :------- | :------------- | :--------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [name](#name) | `string` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-name.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/name") | -| [boot_addr](#boot_addr) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-boot_addr.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/boot_addr") | -| [cluster_base_addr](#cluster_base_addr) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-cluster_base_addr.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/cluster_base_addr") | -| [tcdm](#tcdm) | `object` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-tcdm.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/tcdm") | -| [cluster_periph_size](#cluster_periph_size) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-cluster_periph_size.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/cluster_periph_size") | -| [zero_mem_size](#zero_mem_size) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-zero_mem_size.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/zero_mem_size") | -| [addr_width](#addr_width) | `number` | Required | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-addr_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/addr_width") | -| [data_width](#data_width) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-data_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/data_width") | -| [dma_data_width](#dma_data_width) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-dma_data_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_data_width") | -| [narrow_trans](#narrow_trans) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-narrow_trans.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/narrow_trans") | -| [wide_trans](#wide_trans) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-wide_trans.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/wide_trans") | -| [id_width_in](#id_width_in) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-id_width_in.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/id_width_in") | -| [dma_id_width_in](#dma_id_width_in) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-dma_id_width_in.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_id_width_in") | -| [user_width](#user_width) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-user_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/user_width") | -| [dma_user_width](#dma_user_width) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-dma_user_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_user_width") | -| [hart_base_id](#hart_base_id) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hart_base_id.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hart_base_id") | -| [mode](#mode) | `string` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-mode.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/mode") | -| [vm_support](#vm_support) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-vm_support.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/vm_support") | -| [dma_axi_req_fifo_depth](#dma_axi_req_fifo_depth) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-dma_axi_req_fifo_depth.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_axi_req_fifo_depth") | -| [dma_req_fifo_depth](#dma_req_fifo_depth) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-dma_req_fifo_depth.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_req_fifo_depth") | -| [enable_debug](#enable_debug) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-enable_debug.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/enable_debug") | -| [sram_cfg_expose](#sram_cfg_expose) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-sram_cfg_expose.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/sram_cfg_expose") | -| [sram_cfg_fields](#sram_cfg_fields) | `object` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-sram_cfg_fields.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/sram_cfg_fields") | -| [timing](#timing) | `object` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing") | -| [hives](#hives) | `array` | Required | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives") | - -## name - -Optional name for the generated wrapper. - -`name` - -* is optional - -* Type: `string` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-name.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/name") - -### name Type - -`string` - -### name Default Value - -The default value is: - -```json -"snitch_cluster" -``` - -## boot_addr - -Address from which all harts of the cluster start to boot. The default setting is `0x8000_0000`. - -`boot_addr` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-boot_addr.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/boot_addr") - -### boot_addr Type - -`number` - -### boot_addr Default Value - -The default value is: - -```json -2147483648 -``` - -## cluster_base_addr - -Base address of this cluster. - -`cluster_base_addr` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-cluster_base_addr.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/cluster_base_addr") - -### cluster_base_addr Type - -`number` - -## tcdm - -Configuration of the Tightly Coupled Data Memory of this cluster. - -`tcdm` - -* is optional - -* Type: `object` ([Details](snitch_cluster-properties-tcdm.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-tcdm.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/tcdm") - -### tcdm Type - -`object` ([Details](snitch_cluster-properties-tcdm.md)) - -### tcdm Default Value - -The default value is: - -```json -{ - "size": 128, - "banks": 32 -} -``` - -## cluster_periph_size - -Address region size reserved for cluster peripherals in KiByte. - -`cluster_periph_size` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-cluster_periph_size.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/cluster_periph_size") - -### cluster_periph_size Type - -`number` - -### cluster_periph_size Examples - -```json -128 -``` - -```json -64 -``` - -## zero_mem_size - -Address region size reserved for the Zero-Memory in KiByte. - -`zero_mem_size` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-zero_mem_size.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/zero_mem_size") - -### zero_mem_size Type - -`number` - -### zero_mem_size Examples - -```json -128 -``` - -```json -64 -``` - -## addr_width - -Length of the address, should be greater than 30. If the address is larger than 34 the data bus needs to be 64 bits in size. - -`addr_width` - -* is required - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-addr_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/addr_width") - -### addr_width Type - -`number` - -### addr_width Default Value - -The default value is: - -```json -48 -``` - -## data_width - -Data bus size of the integer core (everything except the DMA), must be 32 or 64. A double precision FPU requires 64 bit data length. - -`data_width` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-data_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/data_width") - -### data_width Type - -`number` - -### data_width Default Value - -The default value is: - -```json -64 -``` - -## dma_data_width - -Data bus size of DMA. Usually this is larger than the integer core as the DMA is used to efficiently transfer bulk of data. - -`dma_data_width` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-dma_data_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_data_width") - -### dma_data_width Type - -`number` - -### dma_data_width Default Value - -The default value is: - -```json -512 -``` - -## narrow_trans - -Outstanding transactions on the narrow AXI network - -`narrow_trans` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-narrow_trans.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/narrow_trans") - -### narrow_trans Type - -`number` - -### narrow_trans Default Value - -The default value is: - -```json -4 -``` - -## wide_trans - -Outstanding transactions on the wide AXI network - -`wide_trans` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-wide_trans.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/wide_trans") - -### wide_trans Type - -`number` - -### wide_trans Default Value - -The default value is: - -```json -4 -``` - -## id_width_in - -Id width of the narrower AXI plug into the cluster. - -`id_width_in` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-id_width_in.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/id_width_in") - -### id_width_in Type - -`number` - -### id_width_in Default Value - -The default value is: - -```json -2 -``` - -## dma_id_width_in - -Id width of the wide AXI plug into the cluster. - -`dma_id_width_in` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-dma_id_width_in.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_id_width_in") - -### dma_id_width_in Type - -`number` - -### dma_id_width_in Default Value - -The default value is: - -```json -1 -``` - -## user_width - -User width of the narrower AXI plug into the cluster. - -`user_width` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-user_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/user_width") - -### user_width Type - -`number` - -### user_width Default Value - -The default value is: - -```json -1 -``` - -## dma_user_width - -User width of the wide AXI plug into the cluster. - -`dma_user_width` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-dma_user_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_user_width") - -### dma_user_width Type - -`number` - -### dma_user_width Default Value - -The default value is: - -```json -1 -``` - -## hart_base_id - -Base hart id of the cluster. All cores get the respective cluster id plus their cluster position as the final `hart_id`. - -`hart_base_id` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hart_base_id.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hart_base_id") - -### hart_base_id Type - -`number` - -## mode - -Supported mode by the processor, can be msu. - -> Currently ignored. - -`mode` - -* is optional - -* Type: `string` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-mode.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/mode") - -### mode Type - -`string` - -## vm_support - -Whether to provide virtual memory support (Sv32). - -`vm_support` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-vm_support.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/vm_support") - -### vm_support Type - -`boolean` - -### vm_support Default Value - -The default value is: - -```json -true -``` - -## dma_axi_req_fifo_depth - -Number of AXI FIFO entries of the DMA engine. - -`dma_axi_req_fifo_depth` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-dma_axi_req_fifo_depth.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_axi_req_fifo_depth") - -### dma_axi_req_fifo_depth Type - -`number` - -### dma_axi_req_fifo_depth Default Value - -The default value is: - -```json -3 -``` - -## dma_req_fifo_depth - -Number of request entries the DMA can keep - -`dma_req_fifo_depth` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-dma_req_fifo_depth.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_req_fifo_depth") - -### dma_req_fifo_depth Type - -`number` - -### dma_req_fifo_depth Default Value - -The default value is: - -```json -3 -``` - -## enable_debug - -Whether to provide a debug request input and external debug features - -`enable_debug` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-enable_debug.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/enable_debug") - -### enable_debug Type - -`boolean` - -### enable_debug Default Value - -The default value is: - -```json -true -``` - -## sram_cfg_expose - -Whether to expose memory cut configuration inputs for implementation - -`sram_cfg_expose` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-sram_cfg_expose.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/sram_cfg_expose") - -### sram_cfg_expose Type - -`boolean` - -## sram_cfg_fields - -The names and widths of memory cut configuration inputs needed for implementation - -`sram_cfg_fields` - -* is optional - -* Type: `object` ([Details](snitch_cluster-properties-sram_cfg_fields.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-sram_cfg_fields.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/sram_cfg_fields") - -### sram_cfg_fields Type - -`object` ([Details](snitch_cluster-properties-sram_cfg_fields.md)) - -### sram_cfg_fields Constraints - -**minimum number of properties**: the minimum number of properties for this object is: `1` - -### sram_cfg_fields Default Value - -The default value is: - -```json -{ - "reserved": 1 -} -``` - -## timing - - - -`timing` - -* is optional - -* Type: `object` ([Timing and Latency Tuning Parameter](snitch_cluster-properties-timing-and-latency-tuning-parameter.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing") - -### timing Type - -`object` ([Timing and Latency Tuning Parameter](snitch_cluster-properties-timing-and-latency-tuning-parameter.md)) - -## hives - -Cores in a hive share an instruction cache and other shared infrastructure such as the PTW or the multiply/divide unit. - -`hives` - -* is required - -* Type: `object[]` ([Hive Description](snitch_cluster-properties-hives-hive-description.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives") - -### hives Type - -`object[]` ([Hive Description](snitch_cluster-properties-hives-hive-description.md)) - -### hives Constraints - -**minimum number of items**: the minimum number of items for this array is: `1` diff --git a/docs/schema-doc/occamy.md b/docs/schema-doc/occamy.md deleted file mode 100644 index 954e682dc..000000000 --- a/docs/schema-doc/occamy.md +++ /dev/null @@ -1,485 +0,0 @@ -# Occamy System Schema Schema - -```txt -http://pulp-platform.org/snitch/occamy.schema.json -``` - -Description of an Occamy-based system. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :-------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [occamy.schema.json](occamy.schema.json "open original schema") | - -## Occamy System Schema Type - -`object` ([Occamy System Schema](occamy.md)) - -# Occamy System Schema Properties - -| Property | Type | Required | Nullable | Defined by | -| :-------------------------------------------------------------------- | :-------- | :------- | :------------- | :------------------------------------------------------------------------------------------------------------------------------------------------------------------------------ | -| [cluster](#cluster) | `object` | Required | cannot be null | [Occamy System Schema](occamy-properties-snitch-cluster-schema.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/cluster") | -| [quadrant_pre_xbar](#quadrant_pre_xbar) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-axi-crossbar-schema.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/quadrant_pre_xbar") | -| [pre_xbar_slv_id_width_no_rocache](#pre_xbar_slv_id_width_no_rocache) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-pre_xbar_slv_id_width_no_rocache.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/pre_xbar_slv_id_width_no_rocache") | -| [wide_xbar](#wide_xbar) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-axi-crossbar-schema-1.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/wide_xbar") | -| [quadrant_inter_xbar](#quadrant_inter_xbar) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-axi-crossbar-schema-2.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/quadrant_inter_xbar") | -| [hbm_xbar](#hbm_xbar) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-axi-crossbar-schema-3.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/hbm_xbar") | -| [narrow_xbar](#narrow_xbar) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-axi-crossbar-schema-4.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/narrow_xbar") | -| [narrow_xbar_slv_id_width](#narrow_xbar_slv_id_width) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-narrow_xbar_slv_id_width.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/narrow_xbar_slv_id_width") | -| [nr_s1_quadrant](#nr_s1_quadrant) | `integer` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-s1-quadrants.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/nr_s1_quadrant") | -| [narrow_tlb_cfg](#narrow_tlb_cfg) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-axi-tlb-schema.md "http://pulp-platform.org/snitch/axi_tlb.schema.json#/properties/narrow_tlb_cfg") | -| [wide_tlb_cfg](#wide_tlb_cfg) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-axi-tlb-schema-1.md "http://pulp-platform.org/snitch/axi_tlb.schema.json#/properties/wide_tlb_cfg") | -| [cuts](#cuts) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts") | -| [txns](#txns) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-number-of-outstanding-transactions-on-the-axi-bus.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/txns") | -| [is_remote_quadrant](#is_remote_quadrant) | `boolean` | Optional | cannot be null | [Occamy System Schema](occamy-properties-is_remote_quadrant.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/is_remote_quadrant") | -| [remote_quadrants](#remote_quadrants) | `array` | Optional | cannot be null | [Occamy System Schema](occamy-properties-remote-quadrants.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/remote_quadrants") | -| [s1_quadrant](#s1_quadrant) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-s1-quadrant-properties.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1_quadrant") | -| [spm_narrow](#spm_narrow) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-address-range-schema.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/spm_narrow") | -| [spm_wide](#spm_wide) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-address-range-schema-1.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/spm_wide") | -| [wide_zero_mem](#wide_zero_mem) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-address-range-schema-2.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/wide_zero_mem") | -| [pcie](#pcie) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-configuration-of-external-pcie-port.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/pcie") | -| [hbi](#hbi) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-address-range-schema-3.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/hbi") | -| [hbm](#hbm) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-configuration-of-external-hbm-interface.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm") | -| [peripherals](#peripherals) | `object` | Optional | cannot be null | [Occamy System Schema](occamy-properties-peripherals-schema.md "http://pulp-platform.org/snitch/peripherals.schema.json#/properties/peripherals") | - -## cluster - -Base description of a Snitch cluster and its internal structure and configuration. - -`cluster` - -* is required - -* Type: `object` ([Snitch Cluster Schema](occamy-properties-snitch-cluster-schema.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-snitch-cluster-schema.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/cluster") - -### cluster Type - -`object` ([Snitch Cluster Schema](occamy-properties-snitch-cluster-schema.md)) - -## quadrant_pre_xbar - -AXI Crossbar Properties - -`quadrant_pre_xbar` - -* is optional - -* Type: `object` ([AXI Crossbar Schema](occamy-properties-axi-crossbar-schema-4.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-axi-crossbar-schema-4.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/quadrant_pre_xbar") - -### quadrant_pre_xbar Type - -`object` ([AXI Crossbar Schema](occamy-properties-axi-crossbar-schema-4.md)) - -## pre_xbar_slv_id_width_no_rocache - -ID width of quadrant pre-crossbar slave ports assuming no read-only cache. - -`pre_xbar_slv_id_width_no_rocache` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-pre_xbar_slv_id_width_no_rocache.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/pre_xbar_slv_id_width_no_rocache") - -### pre_xbar_slv_id_width_no_rocache Type - -`integer` - -### pre_xbar_slv_id_width_no_rocache Default Value - -The default value is: - -```json -3 -``` - -## wide_xbar - -AXI Crossbar Properties - -`wide_xbar` - -* is optional - -* Type: `object` ([AXI Crossbar Schema](occamy-properties-axi-crossbar-schema-4.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-axi-crossbar-schema-4.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/wide_xbar") - -### wide_xbar Type - -`object` ([AXI Crossbar Schema](occamy-properties-axi-crossbar-schema-4.md)) - -## quadrant_inter_xbar - -AXI Crossbar Properties - -`quadrant_inter_xbar` - -* is optional - -* Type: `object` ([AXI Crossbar Schema](occamy-properties-axi-crossbar-schema-4.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-axi-crossbar-schema-4.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/quadrant_inter_xbar") - -### quadrant_inter_xbar Type - -`object` ([AXI Crossbar Schema](occamy-properties-axi-crossbar-schema-4.md)) - -## hbm_xbar - -AXI Crossbar Properties - -`hbm_xbar` - -* is optional - -* Type: `object` ([AXI Crossbar Schema](occamy-properties-axi-crossbar-schema-4.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-axi-crossbar-schema-4.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/hbm_xbar") - -### hbm_xbar Type - -`object` ([AXI Crossbar Schema](occamy-properties-axi-crossbar-schema-4.md)) - -## narrow_xbar - -AXI Crossbar Properties - -`narrow_xbar` - -* is optional - -* Type: `object` ([AXI Crossbar Schema](occamy-properties-axi-crossbar-schema-4.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-axi-crossbar-schema-4.md "http://pulp-platform.org/snitch/axi_xbar.schema.json#/properties/narrow_xbar") - -### narrow_xbar Type - -`object` ([AXI Crossbar Schema](occamy-properties-axi-crossbar-schema-4.md)) - -## narrow_xbar_slv_id_width - -ID width of narrow crossbar slave ports. - -`narrow_xbar_slv_id_width` - -* is optional - -* Type: `integer` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-narrow_xbar_slv_id_width.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/narrow_xbar_slv_id_width") - -### narrow_xbar_slv_id_width Type - -`integer` - -### narrow_xbar_slv_id_width Default Value - -The default value is: - -```json -4 -``` - -## nr_s1\_quadrant - - - -`nr_s1_quadrant` - -* is optional - -* Type: `integer` ([Number of S1 Quadrants](occamy-properties-number-of-s1-quadrants.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-s1-quadrants.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/nr_s1\_quadrant") - -### nr_s1\_quadrant Type - -`integer` ([Number of S1 Quadrants](occamy-properties-number-of-s1-quadrants.md)) - -### nr_s1\_quadrant Default Value - -The default value is: - -```json -8 -``` - -## narrow_tlb_cfg - -AXI TLB Properties - -`narrow_tlb_cfg` - -* is optional - -* Type: `object` ([AXI TLB Schema](occamy-properties-axi-tlb-schema-1.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-axi-tlb-schema-1.md "http://pulp-platform.org/snitch/axi_tlb.schema.json#/properties/narrow_tlb_cfg") - -### narrow_tlb_cfg Type - -`object` ([AXI TLB Schema](occamy-properties-axi-tlb-schema-1.md)) - -## wide_tlb_cfg - -AXI TLB Properties - -`wide_tlb_cfg` - -* is optional - -* Type: `object` ([AXI TLB Schema](occamy-properties-axi-tlb-schema-1.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-axi-tlb-schema-1.md "http://pulp-platform.org/snitch/axi_tlb.schema.json#/properties/wide_tlb_cfg") - -### wide_tlb_cfg Type - -`object` ([AXI TLB Schema](occamy-properties-axi-tlb-schema-1.md)) - -## cuts - - - -`cuts` - -* is optional - -* Type: `object` ([Number of cuts on the AXI bus](occamy-properties-number-of-cuts-on-the-axi-bus.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-cuts-on-the-axi-bus.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/cuts") - -### cuts Type - -`object` ([Number of cuts on the AXI bus](occamy-properties-number-of-cuts-on-the-axi-bus.md)) - -## txns - - - -`txns` - -* is optional - -* Type: `object` ([Number of outstanding transactions on the AXI bus](occamy-properties-number-of-outstanding-transactions-on-the-axi-bus.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-number-of-outstanding-transactions-on-the-axi-bus.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/txns") - -### txns Type - -`object` ([Number of outstanding transactions on the AXI bus](occamy-properties-number-of-outstanding-transactions-on-the-axi-bus.md)) - -## is_remote_quadrant - -Set if this is a remote quadrant. Only quadrant ant remote interconnect is generated - -`is_remote_quadrant` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-is_remote_quadrant.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/is_remote_quadrant") - -### is_remote_quadrant Type - -`boolean` - -## remote_quadrants - -List of attached remote quadrants - -`remote_quadrants` - -* is optional - -* Type: `object[]` ([Remote Quadrant Description](occamy-properties-remote-quadrants-remote-quadrant-description.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-remote-quadrants.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/remote_quadrants") - -### remote_quadrants Type - -`object[]` ([Remote Quadrant Description](occamy-properties-remote-quadrants-remote-quadrant-description.md)) - -### remote_quadrants Constraints - -**minimum number of items**: the minimum number of items for this array is: `0` - -## s1\_quadrant - - - -`s1_quadrant` - -* is optional - -* Type: `object` ([S1 Quadrant Properties](occamy-properties-s1-quadrant-properties.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-s1-quadrant-properties.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/s1\_quadrant") - -### s1\_quadrant Type - -`object` ([S1 Quadrant Properties](occamy-properties-s1-quadrant-properties.md)) - -## spm_narrow - -Description of a generic address range - -`spm_narrow` - -* is optional - -* Type: `object` ([Address Range Schema](occamy-properties-address-range-schema-3.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-address-range-schema-3.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/spm_narrow") - -### spm_narrow Type - -`object` ([Address Range Schema](occamy-properties-address-range-schema-3.md)) - -## spm_wide - -Description of a generic address range - -`spm_wide` - -* is optional - -* Type: `object` ([Address Range Schema](occamy-properties-address-range-schema-3.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-address-range-schema-3.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/spm_wide") - -### spm_wide Type - -`object` ([Address Range Schema](occamy-properties-address-range-schema-3.md)) - -## wide_zero_mem - -Description of a generic address range - -`wide_zero_mem` - -* is optional - -* Type: `object` ([Address Range Schema](occamy-properties-address-range-schema-3.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-address-range-schema-3.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/wide_zero_mem") - -### wide_zero_mem Type - -`object` ([Address Range Schema](occamy-properties-address-range-schema-3.md)) - -## pcie - - - -`pcie` - -* is optional - -* Type: `object` ([Configuration of external PCIe port](occamy-properties-configuration-of-external-pcie-port.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-configuration-of-external-pcie-port.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/pcie") - -### pcie Type - -`object` ([Configuration of external PCIe port](occamy-properties-configuration-of-external-pcie-port.md)) - -## hbi - -Description of a generic address range - -`hbi` - -* is optional - -* Type: `object` ([Address Range Schema](occamy-properties-address-range-schema-3.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-address-range-schema-3.md "http://pulp-platform.org/snitch/address_range.schema.json#/properties/hbi") - -### hbi Type - -`object` ([Address Range Schema](occamy-properties-address-range-schema-3.md)) - -## hbm - - - -`hbm` - -* is optional - -* Type: `object` ([Configuration of external HBM interface](occamy-properties-configuration-of-external-hbm-interface.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-configuration-of-external-hbm-interface.md "http://pulp-platform.org/snitch/occamy.schema.json#/properties/hbm") - -### hbm Type - -`object` ([Configuration of external HBM interface](occamy-properties-configuration-of-external-hbm-interface.md)) - -## peripherals - -Description of an a peripheral sub-system. - -`peripherals` - -* is optional - -* Type: `object` ([Peripherals Schema](occamy-properties-peripherals-schema.md)) - -* cannot be null - -* defined in: [Occamy System Schema](occamy-properties-peripherals-schema.md "http://pulp-platform.org/snitch/peripherals.schema.json#/properties/peripherals") - -### peripherals Type - -`object` ([Peripherals Schema](occamy-properties-peripherals-schema.md)) diff --git a/docs/schema-doc/occamy.schema.json b/docs/schema-doc/occamy.schema.json deleted file mode 100644 index f9ea3b153..000000000 --- a/docs/schema-doc/occamy.schema.json +++ /dev/null @@ -1 +0,0 @@ -{"$schema":"http://json-schema.org/draft-07/schema#","$id":"http://pulp-platform.org/snitch/occamy.schema.json","title":"Occamy System Schema","description":"Description of an Occamy-based system.","type":"object","required":["cluster"],"properties":{"cluster":{"$ref":"http://pulp-platform.org/snitch/snitch_cluster.schema.json"},"quadrant_pre_xbar":{"$ref":"http://pulp-platform.org/snitch/axi_xbar.schema.json"},"pre_xbar_slv_id_width_no_rocache":{"type":"integer","description":"ID width of quadrant pre-crossbar slave ports assuming no read-only cache.","default":3},"wide_xbar":{"$ref":"http://pulp-platform.org/snitch/axi_xbar.schema.json"},"quadrant_inter_xbar":{"$ref":"http://pulp-platform.org/snitch/axi_xbar.schema.json"},"hbm_xbar":{"$ref":"http://pulp-platform.org/snitch/axi_xbar.schema.json"},"narrow_xbar":{"$ref":"http://pulp-platform.org/snitch/axi_xbar.schema.json"},"narrow_xbar_slv_id_width":{"type":"integer","description":"ID width of narrow crossbar slave ports.","default":4},"nr_s1_quadrant":{"title":"Number of S1 Quadrants","type":"integer","default":8},"narrow_tlb_cfg":{"$ref":"http://pulp-platform.org/snitch/axi_tlb.schema.json"},"wide_tlb_cfg":{"$ref":"http://pulp-platform.org/snitch/axi_tlb.schema.json"},"cuts":{"title":"Number of cuts on the AXI bus","type":"object","properties":{"narrow_to_quad":{"type":"integer","description":"narrow xbar -> quad","default":3},"quad_to_narrow":{"type":"integer","description":"quad -> narrow xbar","default":3},"quad_to_pre":{"type":"integer","description":"quad -> pre xbar","default":1},"pre_to_inter":{"type":"integer","description":"pre xbar -> inter xbar","default":1},"inter_to_quad":{"type":"integer","description":"inter xbar -> quad","default":3},"narrow_to_cva6":{"type":"integer","description":"narrow -> cva6","default":1},"narrow_conv_to_spm_narrow_pre":{"type":"integer","description":"narrow -> SPM pre atomic adapter","default":1},"narrow_conv_to_spm_narrow":{"type":"integer","description":"narrow -> SPM post atomic adapter","default":1},"narrow_and_pcie":{"type":"integer","description":"PCIe in and out","default":1},"narrow_and_wide":{"type":"integer","description":"narrow xbar -> wide xbar & wide xbar -> narrow xbar","default":0},"wide_conv_to_spm_wide":{"type":"integer","description":"wide xbar -> wide spm","default":1},"wide_to_wide_zero_mem":{"type":"integer","description":"wide xbar -> wide zero memory","default":0},"wide_to_hbm":{"type":"integer","description":"wide xbar -> hbm xbar","default":6},"wide_and_inter":{"type":"integer","description":"inter xbar -> wide xbar & wide xbar -> inter xbar","default":3},"wide_and_hbi":{"type":"integer","description":"hbi <-> wide xbar","default":3},"narrow_and_hbi":{"type":"integer","description":"hbi <-> narrow xbar","default":3},"pre_to_hbmx":{"type":"integer","description":"pre xbar -> hbm xbar","default":3},"hbmx_to_hbm":{"type":"integer","description":"hbmx -> hbm","default":3},"atomic_adapter_narrow":{"type":"integer","description":"narrow spm atomic adapter internal cuts","default":1},"atomic_adapter_narrow_wide":{"type":"integer","description":"narrow_to_wide atomic adapter internal cuts","default":1},"periph_axi_lite_narrow":{"type":"integer","description":"soc narrow -> periph regbus","default":3},"periph_axi_lite":{"type":"integer","description":"soc narrow -> periph axilite","default":3},"periph_axi_lite_narrow_hbm_xbar_cfg":{"type":"integer","description":"axi lite narrow cuts before regbus translation for hbm_xbar_cfg","default":3},"periph_axi_lite_narrow_hbi_wide_cfg":{"type":"integer","description":"axi lite narrow cuts before regbus translation for hbi_wide_cfg","default":3},"periph_axi_lite_narrow_hbi_narrow_cfg":{"type":"integer","description":"axi lite narrow cuts before regbus translation for hbi_narrow_cfg","default":3},"periph_axi_lite_narrow_pcie_cfg":{"type":"integer","description":"axi lite narrow cuts before regbus translation for pcie_cfg","default":2},"periph_axi_lite_narrow_hbm_cfg":{"type":"integer","description":"axi lite narrow cuts before regbus translation for hbm_cfg","default":3},"periph_axi_lite_narrow_clint_cfg":{"type":"integer","description":"axi lite narrow cuts before regbus translation for clint_cfg","default":1},"periph_axi_lite_narrow_soc_ctrl_cfg":{"type":"integer","description":"axi lite narrow cuts before regbus translation for soc_ctrl_cfg","default":1},"periph_axi_lite_narrow_chip_ctrl_cfg":{"type":"integer","description":"axi lite narrow cuts before regbus translation for chip_ctrl_cfg","default":1},"periph_axi_lite_narrow_uart_cfg":{"type":"integer","description":"axi lite narrow cuts before regbus translation for uart_cfg","default":2},"periph_axi_lite_narrow_bootrom_cfg":{"type":"integer","description":"axi lite narrow cuts before regbus translation for bootrom_cfg","default":3},"periph_axi_lite_narrow_fll_system_cfg":{"type":"integer","description":"axi lite narrow cuts before regbus translation for fll_system_cfg","default":3},"periph_axi_lite_narrow_fll_periph_cfg":{"type":"integer","description":"axi lite narrow cuts before regbus translation for fll_periph_cfg","default":3},"periph_axi_lite_narrow_fll_hbm2e_cfg":{"type":"integer","description":"axi lite narrow cuts before regbus translation for fll_hbm2e_cfg","default":3},"periph_axi_lite_narrow_plic_cfg":{"type":"integer","description":"axi lite narrow cuts before regbus translation for plic_cfg","default":1},"periph_axi_lite_narrow_spim_cfg":{"type":"integer","description":"axi lite narrow cuts before regbus translation for spim_cfg","default":32},"periph_axi_lite_narrow_gpio_cfg":{"type":"integer","description":"axi lite narrow cuts before regbus translation for gpio_cfg","default":2},"periph_axi_lite_narrow_i2c_cfg":{"type":"integer","description":"axi lite narrow cuts before regbus translation for i2c_cfg","default":2},"periph_axi_lite_narrow_timer_cfg":{"type":"integer","description":"axi lite narrow cuts before regbus translation for timer_cfg","default":1}}},"txns":{"title":"Number of outstanding transactions on the AXI bus","type":"object","properties":{"wide_and_inter":{"type":"integer","description":"inter xbar -> wide xbar & wide xbar -> inter xbar","default":4},"wide_to_hbm":{"type":"integer","description":"wide xbar -> hbm xbar","default":4},"narrow_and_wide":{"type":"integer","description":"narrow xbar -> wide xbar & wide xbar -> narrow xbar","default":4},"rmq":{"type":"integer","description":"Remote Quadrant mux/demux","default":4}}},"is_remote_quadrant":{"description":"Set if this is a remote quadrant. Only quadrant ant remote interconnect is generated","type":"boolean","default":false},"remote_quadrants":{"title":"Remote Quadrants","type":"array","description":"List of attached remote quadrants","minItems":0,"items":{"type":"object","title":"Remote Quadrant Description","description":"Description of a remote quadrant","properties":{"nr_clusters":{"type":"integer","default":4,"description":"Number of clusters in an S1 quadrant."},"nr_cluster_cores":{"type":"integer","default":8,"description":"Number of cores in a cluster"}}}},"s1_quadrant":{"title":"S1 Quadrant Properties","type":"object","properties":{"nr_clusters":{"type":"integer","default":4,"description":"Number of clusters in an S1 quadrant."},"ro_cache_cfg":{"type":"object","description":"Constant cache configuration.","properties":{"max_trans":{"type":"integer","description":"Maximum Outstanding Transaction","default":4},"width":{"type":"integer","description":"Cache Line Width"},"count":{"type":"integer","description":"The number of cache lines per set. Power of two; >= 2."},"sets":{"type":"integer","description":"The set associativity of the cache. Power of two; >= 1."},"address_regions":{"type":"integer","description":"Number of programmable address regions.","default":1}}},"wide_xbar":{"$ref":"http://pulp-platform.org/snitch/axi_xbar.schema.json"},"wide_xbar_slv_id_width":{"type":"integer","description":"ID width of wide quadrant crossbar slave ports.","default":3},"narrow_xbar":{"$ref":"http://pulp-platform.org/snitch/axi_xbar.schema.json"},"narrow_xbar_slv_id_width":{"type":"integer","description":"ID width of narrow quadrant crossbar slave ports.","default":4},"cfg_base_addr":{"type":"integer","description":"Base address of the quadrant configuration region.","default":184549376},"cfg_base_offset":{"type":"integer","description":"Allocated size and offset of each quadrant configuration.","default":65536}}},"spm_narrow":{"$ref":"http://pulp-platform.org/snitch/address_range.schema.json"},"spm_wide":{"$ref":"http://pulp-platform.org/snitch/address_range.schema.json"},"wide_zero_mem":{"$ref":"http://pulp-platform.org/snitch/address_range.schema.json"},"pcie":{"title":"Configuration of external PCIe port","type":"object","properties":{"address_io":{"type":"integer","description":"Base address of PCIe IO range.","minimum":0},"address_mm":{"type":"integer","description":"Base address of PCIe memory-mapped range.","minimum":0},"length":{"type":"integer","description":"Size in bytes of all PCIe ranges.","minimum":0}}},"hbi":{"$ref":"http://pulp-platform.org/snitch/address_range.schema.json"},"hbm":{"title":"Configuration of external HBM interface","type":"object","properties":{"address_1":{"type":"integer","description":"Start of HBM address region 1.","minimum":0},"address_2":{"type":"integer","description":"Start of HBM address region 1.","minimum":0},"channel_size":{"type":"integer","description":"Size of single HBM channel region.","minimum":0},"nr_channels_total":{"type":"integer","description":"Total number of HBM channels.","minimum":0},"nr_channels_address_0":{"type":"integer","description":"Number of lower HBM channels accessible over address region 1","minimum":0},"cfg_regions":{"properties":{"top":{"$ref":"http://pulp-platform.org/snitch/address_range.schema.json"},"phy":{"$ref":"http://pulp-platform.org/snitch/address_range.schema.json"},"seq":{"$ref":"http://pulp-platform.org/snitch/address_range.schema.json"},"ctrl":{"$ref":"http://pulp-platform.org/snitch/address_range.schema.json"}}}}},"peripherals":{"$ref":"http://pulp-platform.org/snitch/peripherals.schema.json"}}} diff --git a/docs/schema-doc/peripherals-properties-axi_lite_peripherals-items-address.md b/docs/schema-doc/peripherals-properties-axi_lite_peripherals-items-address.md deleted file mode 100644 index 463eaa6da..000000000 --- a/docs/schema-doc/peripherals-properties-axi_lite_peripherals-items-address.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled number in Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/axi_lite_peripherals/items/address -``` - -Start address of the peripheral address region. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [peripherals.schema.json*](peripherals.schema.json "open original schema") | - -## address Type - -`number` - -## address Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/peripherals-properties-axi_lite_peripherals-items-length.md b/docs/schema-doc/peripherals-properties-axi_lite_peripherals-items-length.md deleted file mode 100644 index fb4ea0ec1..000000000 --- a/docs/schema-doc/peripherals-properties-axi_lite_peripherals-items-length.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled number in Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/axi_lite_peripherals/items/length -``` - -Total size of the peripheral address region in bytes. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [peripherals.schema.json*](peripherals.schema.json "open original schema") | - -## length Type - -`number` - -## length Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/peripherals-properties-axi_lite_peripherals-items-name.md b/docs/schema-doc/peripherals-properties-axi_lite_peripherals-items-name.md deleted file mode 100644 index c6921db3f..000000000 --- a/docs/schema-doc/peripherals-properties-axi_lite_peripherals-items-name.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled string in Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/axi_lite_peripherals/items/name -``` - -Name of the peripheral connected to the AXI-lite crossbar. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [peripherals.schema.json*](peripherals.schema.json "open original schema") | - -## name Type - -`string` diff --git a/docs/schema-doc/peripherals-properties-axi_lite_peripherals-items.md b/docs/schema-doc/peripherals-properties-axi_lite_peripherals-items.md deleted file mode 100644 index 253538bd9..000000000 --- a/docs/schema-doc/peripherals-properties-axi_lite_peripherals-items.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled undefined type in Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/axi_lite_peripherals/items -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [peripherals.schema.json*](peripherals.schema.json "open original schema") | - -## items Type - -unknown diff --git a/docs/schema-doc/peripherals-properties-axi_lite_peripherals.md b/docs/schema-doc/peripherals-properties-axi_lite_peripherals.md deleted file mode 100644 index 2664ab9f0..000000000 --- a/docs/schema-doc/peripherals-properties-axi_lite_peripherals.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled array in Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/axi_lite_peripherals -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [peripherals.schema.json*](peripherals.schema.json "open original schema") | - -## axi_lite_peripherals Type - -unknown\[] - -## axi_lite_peripherals Constraints - -**unique items**: all items in this array must be unique. Duplicates are not allowed. diff --git a/docs/schema-doc/peripherals-properties-clint-address.md b/docs/schema-doc/peripherals-properties-clint-address.md deleted file mode 100644 index 203fbe608..000000000 --- a/docs/schema-doc/peripherals-properties-clint-address.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled number in Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/clint/address -``` - -Start address of CLINT address region. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [peripherals.schema.json*](peripherals.schema.json "open original schema") | - -## address Type - -`number` - -## address Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/peripherals-properties-clint-default.md b/docs/schema-doc/peripherals-properties-clint-default.md deleted file mode 100644 index dd326935a..000000000 --- a/docs/schema-doc/peripherals-properties-clint-default.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled undefined type in Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/clint/default -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [peripherals.schema.json*](peripherals.schema.json "open original schema") | - -## default Type - -unknown diff --git a/docs/schema-doc/peripherals-properties-clint-length.md b/docs/schema-doc/peripherals-properties-clint-length.md deleted file mode 100644 index e2df94467..000000000 --- a/docs/schema-doc/peripherals-properties-clint-length.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled number in Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/clint/length -``` - -Total size of CLINT address region in bytes. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [peripherals.schema.json*](peripherals.schema.json "open original schema") | - -## length Type - -`number` - -## length Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/peripherals-properties-clint.md b/docs/schema-doc/peripherals-properties-clint.md deleted file mode 100644 index d81b10f7a..000000000 --- a/docs/schema-doc/peripherals-properties-clint.md +++ /dev/null @@ -1,26 +0,0 @@ -# Untitled object in Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/clint -``` - -Core-local Interrupt Controller (CLINT) peripheral. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [peripherals.schema.json*](peripherals.schema.json "open original schema") | - -## clint Type - -`object` ([Details](peripherals-properties-clint.md)) - -## clint Default Value - -The default value is: - -```json -{ - "address": 67108864, - "length": 1048576 -} -``` diff --git a/docs/schema-doc/peripherals-properties-regbus_peripherals-items-address.md b/docs/schema-doc/peripherals-properties-regbus_peripherals-items-address.md deleted file mode 100644 index 263c2c346..000000000 --- a/docs/schema-doc/peripherals-properties-regbus_peripherals-items-address.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled number in Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/regbus_peripherals/items/address -``` - -Start address of the peripheral address region. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [peripherals.schema.json*](peripherals.schema.json "open original schema") | - -## address Type - -`number` - -## address Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/peripherals-properties-regbus_peripherals-items-length.md b/docs/schema-doc/peripherals-properties-regbus_peripherals-items-length.md deleted file mode 100644 index 37464331e..000000000 --- a/docs/schema-doc/peripherals-properties-regbus_peripherals-items-length.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled number in Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/regbus_peripherals/items/length -``` - -Total size of the peripheral address region in bytes. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [peripherals.schema.json*](peripherals.schema.json "open original schema") | - -## length Type - -`number` - -## length Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/peripherals-properties-regbus_peripherals-items-name.md b/docs/schema-doc/peripherals-properties-regbus_peripherals-items-name.md deleted file mode 100644 index 4d5f5ca26..000000000 --- a/docs/schema-doc/peripherals-properties-regbus_peripherals-items-name.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled string in Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/regbus_peripherals/items/name -``` - -Name of the peripheral connected to the Register Bus crossbar. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [peripherals.schema.json*](peripherals.schema.json "open original schema") | - -## name Type - -`string` diff --git a/docs/schema-doc/peripherals-properties-regbus_peripherals-items.md b/docs/schema-doc/peripherals-properties-regbus_peripherals-items.md deleted file mode 100644 index 8286fd2b1..000000000 --- a/docs/schema-doc/peripherals-properties-regbus_peripherals-items.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled undefined type in Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/regbus_peripherals/items -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [peripherals.schema.json*](peripherals.schema.json "open original schema") | - -## items Type - -unknown diff --git a/docs/schema-doc/peripherals-properties-regbus_peripherals.md b/docs/schema-doc/peripherals-properties-regbus_peripherals.md deleted file mode 100644 index 893667346..000000000 --- a/docs/schema-doc/peripherals-properties-regbus_peripherals.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled array in Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/regbus_peripherals -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [peripherals.schema.json*](peripherals.schema.json "open original schema") | - -## regbus_peripherals Type - -unknown\[] - -## regbus_peripherals Constraints - -**unique items**: all items in this array must be unique. Duplicates are not allowed. diff --git a/docs/schema-doc/peripherals-properties-rom-address.md b/docs/schema-doc/peripherals-properties-rom-address.md deleted file mode 100644 index f2cc5b44b..000000000 --- a/docs/schema-doc/peripherals-properties-rom-address.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled number in Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/rom/address -``` - -Start address of ROM. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [peripherals.schema.json*](peripherals.schema.json "open original schema") | - -## address Type - -`number` - -## address Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/peripherals-properties-rom-default.md b/docs/schema-doc/peripherals-properties-rom-default.md deleted file mode 100644 index d1ef36589..000000000 --- a/docs/schema-doc/peripherals-properties-rom-default.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled undefined type in Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/rom/default -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [peripherals.schema.json*](peripherals.schema.json "open original schema") | - -## default Type - -unknown diff --git a/docs/schema-doc/peripherals-properties-rom-length.md b/docs/schema-doc/peripherals-properties-rom-length.md deleted file mode 100644 index 07d1a1cea..000000000 --- a/docs/schema-doc/peripherals-properties-rom-length.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled number in Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/rom/length -``` - -Total size of ROM in bytes. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [peripherals.schema.json*](peripherals.schema.json "open original schema") | - -## length Type - -`number` - -## length Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/peripherals-properties-rom.md b/docs/schema-doc/peripherals-properties-rom.md deleted file mode 100644 index 9c0523b30..000000000 --- a/docs/schema-doc/peripherals-properties-rom.md +++ /dev/null @@ -1,26 +0,0 @@ -# Untitled object in Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json#/properties/rom -``` - -Read-only memory from which *all* harts of the system start to boot. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [peripherals.schema.json*](peripherals.schema.json "open original schema") | - -## rom Type - -`object` ([Details](peripherals-properties-rom.md)) - -## rom Default Value - -The default value is: - -```json -{ - "address": 16777216, - "length": 131072 -} -``` diff --git a/docs/schema-doc/peripherals.md b/docs/schema-doc/peripherals.md deleted file mode 100644 index d135a1779..000000000 --- a/docs/schema-doc/peripherals.md +++ /dev/null @@ -1,126 +0,0 @@ -# Peripherals Schema Schema - -```txt -http://pulp-platform.org/snitch/peripherals.schema.json -``` - -Description of an a peripheral sub-system. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------ | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [peripherals.schema.json](peripherals.schema.json "open original schema") | - -## Peripherals Schema Type - -`object` ([Peripherals Schema](peripherals.md)) - -# Peripherals Schema Properties - -| Property | Type | Required | Nullable | Defined by | -| :-------------------------------------------- | :------- | :------- | :------------- | :-------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [rom](#rom) | `object` | Optional | cannot be null | [Peripherals Schema](peripherals-properties-rom.md "http://pulp-platform.org/snitch/peripherals.schema.json#/properties/rom") | -| [clint](#clint) | `object` | Optional | cannot be null | [Peripherals Schema](peripherals-properties-clint.md "http://pulp-platform.org/snitch/peripherals.schema.json#/properties/clint") | -| [axi_lite_peripherals](#axi_lite_peripherals) | `array` | Optional | cannot be null | [Peripherals Schema](peripherals-properties-axi_lite_peripherals.md "http://pulp-platform.org/snitch/peripherals.schema.json#/properties/axi_lite_peripherals") | -| [regbus_peripherals](#regbus_peripherals) | `array` | Optional | cannot be null | [Peripherals Schema](peripherals-properties-regbus_peripherals.md "http://pulp-platform.org/snitch/peripherals.schema.json#/properties/regbus_peripherals") | - -## rom - -Read-only memory from which *all* harts of the system start to boot. - -`rom` - -* is optional - -* Type: `object` ([Details](peripherals-properties-rom.md)) - -* cannot be null - -* defined in: [Peripherals Schema](peripherals-properties-rom.md "http://pulp-platform.org/snitch/peripherals.schema.json#/properties/rom") - -### rom Type - -`object` ([Details](peripherals-properties-rom.md)) - -### rom Default Value - -The default value is: - -```json -{ - "address": 16777216, - "length": 131072 -} -``` - -## clint - -Core-local Interrupt Controller (CLINT) peripheral. - -`clint` - -* is optional - -* Type: `object` ([Details](peripherals-properties-clint.md)) - -* cannot be null - -* defined in: [Peripherals Schema](peripherals-properties-clint.md "http://pulp-platform.org/snitch/peripherals.schema.json#/properties/clint") - -### clint Type - -`object` ([Details](peripherals-properties-clint.md)) - -### clint Default Value - -The default value is: - -```json -{ - "address": 67108864, - "length": 1048576 -} -``` - -## axi_lite_peripherals - - - -`axi_lite_peripherals` - -* is optional - -* Type: unknown\[] - -* cannot be null - -* defined in: [Peripherals Schema](peripherals-properties-axi_lite_peripherals.md "http://pulp-platform.org/snitch/peripherals.schema.json#/properties/axi_lite_peripherals") - -### axi_lite_peripherals Type - -unknown\[] - -### axi_lite_peripherals Constraints - -**unique items**: all items in this array must be unique. Duplicates are not allowed. - -## regbus_peripherals - - - -`regbus_peripherals` - -* is optional - -* Type: unknown\[] - -* cannot be null - -* defined in: [Peripherals Schema](peripherals-properties-regbus_peripherals.md "http://pulp-platform.org/snitch/peripherals.schema.json#/properties/regbus_peripherals") - -### regbus_peripherals Type - -unknown\[] - -### regbus_peripherals Constraints - -**unique items**: all items in this array must be unique. Duplicates are not allowed. diff --git a/docs/schema-doc/peripherals.schema.json b/docs/schema-doc/peripherals.schema.json deleted file mode 100644 index 3a88047cb..000000000 --- a/docs/schema-doc/peripherals.schema.json +++ /dev/null @@ -1 +0,0 @@ -{"$schema":"http://json-schema.org/draft-07/schema#","$id":"http://pulp-platform.org/snitch/peripherals.schema.json","title":"Peripherals Schema","description":"Description of an a peripheral sub-system.","type":"object","required":[],"properties":{"rom":{"type":"object","description":"Read-only memory from which _all_ harts of the system start to boot.","default":{"address":16777216,"length":131072},"address":{"type":"number","description":"Start address of ROM.","minimum":0},"length":{"type":"number","description":"Total size of ROM in bytes.","minimum":0}},"clint":{"type":"object","description":"Core-local Interrupt Controller (CLINT) peripheral.","default":{"address":67108864,"length":1048576},"address":{"type":"number","description":"Start address of CLINT address region.","minimum":0},"length":{"type":"number","description":"Total size of CLINT address region in bytes.","minimum":0}},"axi_lite_peripherals":{"type":"array","uniqueItems":true,"items":{"name":{"type":"string","description":"Name of the peripheral connected to the AXI-lite crossbar."},"address":{"type":"number","description":"Start address of the peripheral address region.","minimum":0},"length":{"type":"number","description":"Total size of the peripheral address region in bytes.","minimum":0}}},"regbus_peripherals":{"type":"array","uniqueItems":true,"items":{"name":{"type":"string","description":"Name of the peripheral connected to the Register Bus crossbar."},"address":{"type":"number","description":"Start address of the peripheral address region.","minimum":0},"length":{"type":"number","description":"Total size of the peripheral address region in bytes.","minimum":0}}}}} diff --git a/docs/schema-doc/snitch_cluster-properties-addr_width.md b/docs/schema-doc/snitch_cluster-properties-addr_width.md deleted file mode 100644 index 9aef068eb..000000000 --- a/docs/schema-doc/snitch_cluster-properties-addr_width.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/addr_width -``` - -Length of the address, should be greater than 30. If the address is larger than 34 the data bus needs to be 64 bits in size. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## addr_width Type - -`number` - -## addr_width Default Value - -The default value is: - -```json -48 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-boot_addr.md b/docs/schema-doc/snitch_cluster-properties-boot_addr.md deleted file mode 100644 index 196c658ab..000000000 --- a/docs/schema-doc/snitch_cluster-properties-boot_addr.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/boot_addr -``` - -Address from which all harts of the cluster start to boot. The default setting is `0x8000_0000`. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## boot_addr Type - -`number` - -## boot_addr Default Value - -The default value is: - -```json -2147483648 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-cluster_base_addr.md b/docs/schema-doc/snitch_cluster-properties-cluster_base_addr.md deleted file mode 100644 index 7f7c79cde..000000000 --- a/docs/schema-doc/snitch_cluster-properties-cluster_base_addr.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/cluster_base_addr -``` - -Base address of this cluster. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## cluster_base_addr Type - -`number` diff --git a/docs/schema-doc/snitch_cluster-properties-cluster_periph_size.md b/docs/schema-doc/snitch_cluster-properties-cluster_periph_size.md deleted file mode 100644 index 3611035da..000000000 --- a/docs/schema-doc/snitch_cluster-properties-cluster_periph_size.md +++ /dev/null @@ -1,25 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/cluster_periph_size -``` - -Address region size reserved for cluster peripherals in KiByte. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## cluster_periph_size Type - -`number` - -## cluster_periph_size Examples - -```json -128 -``` - -```json -64 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-data_width.md b/docs/schema-doc/snitch_cluster-properties-data_width.md deleted file mode 100644 index 3c835dfd4..000000000 --- a/docs/schema-doc/snitch_cluster-properties-data_width.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/data_width -``` - -Data bus size of the integer core (everything except the DMA), must be 32 or 64. A double precision FPU requires 64 bit data length. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## data_width Type - -`number` - -## data_width Default Value - -The default value is: - -```json -64 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-dma_axi_req_fifo_depth.md b/docs/schema-doc/snitch_cluster-properties-dma_axi_req_fifo_depth.md deleted file mode 100644 index bd08ccbc2..000000000 --- a/docs/schema-doc/snitch_cluster-properties-dma_axi_req_fifo_depth.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_axi_req_fifo_depth -``` - -Number of AXI FIFO entries of the DMA engine. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## dma_axi_req_fifo_depth Type - -`number` - -## dma_axi_req_fifo_depth Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-dma_data_width.md b/docs/schema-doc/snitch_cluster-properties-dma_data_width.md deleted file mode 100644 index b0ae8a3de..000000000 --- a/docs/schema-doc/snitch_cluster-properties-dma_data_width.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_data_width -``` - -Data bus size of DMA. Usually this is larger than the integer core as the DMA is used to efficiently transfer bulk of data. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## dma_data_width Type - -`number` - -## dma_data_width Default Value - -The default value is: - -```json -512 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-dma_id_width_in.md b/docs/schema-doc/snitch_cluster-properties-dma_id_width_in.md deleted file mode 100644 index bc3e49dfc..000000000 --- a/docs/schema-doc/snitch_cluster-properties-dma_id_width_in.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_id_width_in -``` - -Id width of the wide AXI plug into the cluster. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## dma_id_width_in Type - -`number` - -## dma_id_width_in Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-dma_req_fifo_depth.md b/docs/schema-doc/snitch_cluster-properties-dma_req_fifo_depth.md deleted file mode 100644 index 9143912ce..000000000 --- a/docs/schema-doc/snitch_cluster-properties-dma_req_fifo_depth.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_req_fifo_depth -``` - -Number of request entries the DMA can keep - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## dma_req_fifo_depth Type - -`number` - -## dma_req_fifo_depth Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-dma_user_width.md b/docs/schema-doc/snitch_cluster-properties-dma_user_width.md deleted file mode 100644 index b421b6029..000000000 --- a/docs/schema-doc/snitch_cluster-properties-dma_user_width.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_user_width -``` - -User width of the wide AXI plug into the cluster. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## dma_user_width Type - -`number` - -## dma_user_width Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-enable_debug.md b/docs/schema-doc/snitch_cluster-properties-enable_debug.md deleted file mode 100644 index 077509e29..000000000 --- a/docs/schema-doc/snitch_cluster-properties-enable_debug.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/enable_debug -``` - -Whether to provide a debug request input and external debug features - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## enable_debug Type - -`boolean` - -## enable_debug Default Value - -The default value is: - -```json -true -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hart_base_id.md b/docs/schema-doc/snitch_cluster-properties-hart_base_id.md deleted file mode 100644 index 1f19fbea3..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hart_base_id.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hart_base_id -``` - -Base hart id of the cluster. All cores get the respective cluster id plus their cluster position as the final `hart_id`. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## hart_base_id Type - -`number` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-enable-xfrep-extension.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-enable-xfrep-extension.md deleted file mode 100644 index acd3c7a08..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-enable-xfrep-extension.md +++ /dev/null @@ -1,23 +0,0 @@ -# Enable Xfrep Extension Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xfrep -``` - -Floating-point repetition buffer (Xfrep) custom extension. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## xfrep Type - -`boolean` ([Enable Xfrep Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-enable-xfrep-extension.md)) - -## xfrep Default Value - -The default value is: - -```json -true -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-enable-xssr-extension.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-enable-xssr-extension.md deleted file mode 100644 index 7b9e70916..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-enable-xssr-extension.md +++ /dev/null @@ -1,23 +0,0 @@ -# Enable Xssr Extension Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xssr -``` - -Stream Semantic Registers (Xssr) custom extension. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## xssr Type - -`boolean` ([Enable Xssr Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-enable-xssr-extension.md)) - -## xssr Default Value - -The default value is: - -```json -true -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-isa-string-containing-risc-v-standard-extensions.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-isa-string-containing-risc-v-standard-extensions.md deleted file mode 100644 index 9ea2c637c..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-isa-string-containing-risc-v-standard-extensions.md +++ /dev/null @@ -1,29 +0,0 @@ -# ISA String containing RISC-V standard extensions. Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/isa -``` - -ISA string as defined by the RISC-V standard. Only contain the standardized ISA extensions. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## isa Type - -`string` ([ISA String containing RISC-V standard extensions.](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-isa-string-containing-risc-v-standard-extensions.md)) - -## isa Default Value - -The default value is: - -```json -"rv32imafd" -``` - -## isa Examples - -```json -"rv32imafd" -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_dtlb_entries.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_dtlb_entries.md deleted file mode 100644 index b46eaea5e..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_dtlb_entries.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_dtlb_entries -``` - -Number of DTLB entries. Determines the core's size. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## num_dtlb_entries Type - -`number` - -## num_dtlb_entries Default Value - -The default value is: - -```json -2 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_fp_outstanding_loads.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_fp_outstanding_loads.md deleted file mode 100644 index 0d9978c28..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_fp_outstanding_loads.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_fp_outstanding_loads -``` - -Number of outstanding floating-point loads. Determines the buffer size in the FPU's load/store unit. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## num_fp_outstanding_loads Type - -`number` - -## num_fp_outstanding_loads Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_fp_outstanding_mem.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_fp_outstanding_mem.md deleted file mode 100644 index 440e7368f..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_fp_outstanding_mem.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_fp_outstanding_mem -``` - -Number of outstanding memory operations. Determines the buffer size in the core's load/store unit. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## num_fp_outstanding_mem Type - -`number` - -## num_fp_outstanding_mem Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_int_outstanding_loads.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_int_outstanding_loads.md deleted file mode 100644 index c41a0de82..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_int_outstanding_loads.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_int_outstanding_loads -``` - -Number of outstanding integer loads. Determines the buffer size in the core's load/store unit. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## num_int_outstanding_loads Type - -`number` - -## num_int_outstanding_loads Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_int_outstanding_mem.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_int_outstanding_mem.md deleted file mode 100644 index ea47afb33..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_int_outstanding_mem.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_int_outstanding_mem -``` - -Number of outstanding memory operations. Determines the buffer size in the core's load/store unit. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## num_int_outstanding_mem Type - -`number` - -## num_int_outstanding_mem Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_itlb_entries.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_itlb_entries.md deleted file mode 100644 index b69f480c0..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_itlb_entries.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_itlb_entries -``` - -Number of ITLB entries. Determines the core's size. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## num_itlb_entries Type - -`number` - -## num_itlb_entries Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_sequencer_instructions.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_sequencer_instructions.md deleted file mode 100644 index eff5eb056..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_sequencer_instructions.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_sequencer_instructions -``` - -Amount of floating-point instruction the floating-point sequence buffer can hold. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## num_sequencer_instructions Type - -`number` - -## num_sequencer_instructions Default Value - -The default value is: - -```json -16 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr-intersection-feature.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr-intersection-feature.md deleted file mode 100644 index 9754fa765..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr-intersection-feature.md +++ /dev/null @@ -1,15 +0,0 @@ -# SSR Intersection Feature Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssr_intersection -``` - -Enable intersection between 3 SSRs for sparse-sparse processing. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## ssr_intersection Type - -`boolean` ([SSR Intersection Feature](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr-intersection-feature.md)) diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr-intersection-triple-items.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr-intersection-triple-items.md deleted file mode 100644 index 24e90c633..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr-intersection-triple-items.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssr_intersection_triple/items -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## items Type - -`number` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr-intersection-triple.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr-intersection-triple.md deleted file mode 100644 index f66d02bbb..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr-intersection-triple.md +++ /dev/null @@ -1,33 +0,0 @@ -# SSR Intersection Triple Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssr_intersection_triple -``` - -Indices of the SSRs forming an SSR intersection triple. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## ssr_intersection_triple Type - -`number[]` - -## ssr_intersection_triple Constraints - -**maximum number of items**: the maximum number of items for this array is: `3` - -**minimum number of items**: the minimum number of items for this array is: `3` - -## ssr_intersection_triple Default Value - -The default value is: - -```json -[ - 0, - 1, - 2 -] -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr_mux_resp_depth.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr_mux_resp_depth.md deleted file mode 100644 index edd27bb5d..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr_mux_resp_depth.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssr_mux_resp_depth -``` - -Depth of response buffer in the TCDM multiplexer arbitrating between core and SSR 0. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## ssr_mux_resp_depth Type - -`number` - -## ssr_mux_resp_depth Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-default-0.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-default-0.md deleted file mode 100644 index 7c4f72669..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-default-0.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled undefined type in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/default/0 -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## 0 Type - -unknown diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-default-1.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-default-1.md deleted file mode 100644 index b042375c1..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-default-1.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled undefined type in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/default/1 -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## 1 Type - -unknown diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-default-2.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-default-2.md deleted file mode 100644 index 88777201a..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-default-2.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled undefined type in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/default/2 -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## 2 Type - -unknown diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-data_credits.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-data_credits.md deleted file mode 100644 index 10ded6585..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-data_credits.md +++ /dev/null @@ -1,27 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/data_credits -``` - -Number of credits and buffer depth of the data word FIFO. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## data_credits Type - -`number` - -## data_credits Constraints - -**minimum**: the value of this number must greater than or equal to: `1` - -## data_credits Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-index_credits.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-index_credits.md deleted file mode 100644 index 622fa31be..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-index_credits.md +++ /dev/null @@ -1,27 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/index_credits -``` - -Number of credits and buffer depth of the index word FIFO. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## index_credits Type - -`number` - -## index_credits Constraints - -**minimum**: the value of this number must greater than or equal to: `1` - -## index_credits Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-index_width.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-index_width.md deleted file mode 100644 index cb0b4062a..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-index_width.md +++ /dev/null @@ -1,21 +0,0 @@ -# Untitled undefined type in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/index_width -``` - -Internal bitwidth of indices in address generator; default covers full TCDM in a unit-stride 1D loop. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## index_width Type - -`number` - -## index_width Constraints - -**maximum**: the value of this number must smaller than or equal to: `32` - -**minimum**: the value of this number must greater than or equal to: `1` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-indir_out_spill.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-indir_out_spill.md deleted file mode 100644 index a0974280b..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-indir_out_spill.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/indir_out_spill -``` - -Whether to cut timing paths with a spill register at the address generator output; added only if indirection extension enabled. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## indir_out_spill Type - -`boolean` - -## indir_out_spill Default Value - -The default value is: - -```json -true -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-indirection.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-indirection.md deleted file mode 100644 index 3fbb45cfb..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-indirection.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/indirection -``` - -Enable indirection extension. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## indirection Type - -`boolean` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-isect_slave_credits.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-isect_slave_credits.md deleted file mode 100644 index 1c7fccf9b..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-isect_slave_credits.md +++ /dev/null @@ -1,27 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/isect_slave_credits -``` - -Number of elements by which intersected indices may outrun corresponding data; added only if this SSR is an intersection slave. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## isect_slave_credits Type - -`number` - -## isect_slave_credits Constraints - -**minimum**: the value of this number must greater than or equal to: `2` - -## isect_slave_credits Default Value - -The default value is: - -```json -8 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-isect_slave_spill.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-isect_slave_spill.md deleted file mode 100644 index 22c3b05cf..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-isect_slave_spill.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/isect_slave_spill -``` - -Whether to cut timing paths with a spill register at the intersector index output; added only if this SSR is an intersection slave. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## isect_slave_spill Type - -`boolean` - -## isect_slave_spill Default Value - -The default value is: - -```json -true -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-mux_resp_depth.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-mux_resp_depth.md deleted file mode 100644 index db6511674..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-mux_resp_depth.md +++ /dev/null @@ -1,27 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/mux_resp_depth -``` - -Depth of response buffer in the TCDM multiplexer arbitrating between data and indices. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## mux_resp_depth Type - -`number` - -## mux_resp_depth Constraints - -**minimum**: the value of this number must greater than or equal to: `1` - -## mux_resp_depth Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-num_loops.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-num_loops.md deleted file mode 100644 index d98cccfe1..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-num_loops.md +++ /dev/null @@ -1,29 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/num_loops -``` - -Number of nested hardware loops in address generator. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## num_loops Type - -`number` - -## num_loops Constraints - -**maximum**: the value of this number must smaller than or equal to: `4` - -**minimum**: the value of this number must greater than or equal to: `1` - -## num_loops Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-pointer_width.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-pointer_width.md deleted file mode 100644 index e1d5687c9..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-pointer_width.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled undefined type in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/pointer_width -``` - -Internal bitwidth of pointers in address generator; default covers full TCDM - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## pointer_width Type - -`number` - -## pointer_width Constraints - -**maximum**: the value of this number must smaller than or equal to: `32` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-reg_idx.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-reg_idx.md deleted file mode 100644 index 69e2e9725..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-reg_idx.md +++ /dev/null @@ -1,21 +0,0 @@ -# Untitled undefined type in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/reg_idx -``` - -The floating-point register index this SSR is assigned to. If not assigned, the next available index counting from 0 is chosen. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## reg_idx Type - -`number` - -## reg_idx Constraints - -**maximum**: the value of this number must smaller than or equal to: `31` - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-rpt_width.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-rpt_width.md deleted file mode 100644 index a60d257dc..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-rpt_width.md +++ /dev/null @@ -1,29 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/rpt_width -``` - -Internal bitwidth of repetition counter for read streams. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## rpt_width Type - -`number` - -## rpt_width Constraints - -**maximum**: the value of this number must smaller than or equal to: `32` - -**minimum**: the value of this number must greater than or equal to: `1` - -## rpt_width Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-shift_width.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-shift_width.md deleted file mode 100644 index 9cfa9e8ea..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-shift_width.md +++ /dev/null @@ -1,29 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/shift_width -``` - -Internal bitwidth of additional left shift amount for indirect indices. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## shift_width Type - -`number` - -## shift_width Constraints - -**maximum**: the value of this number must smaller than or equal to: `32` - -**minimum**: the value of this number must greater than or equal to: `1` - -## shift_width Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description.md deleted file mode 100644 index d6b01f097..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description.md +++ /dev/null @@ -1,389 +0,0 @@ -# SSR Description Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items -``` - -Description of a single Stream Semantic Register. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## items Type - -`object` ([SSR Description](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description.md)) - -# SSR Description Properties - -| Property | Type | Required | Nullable | Defined by | -| :------------------------------------------ | :-------- | :------- | :------------- | :--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [reg_idx](#reg_idx) | `number` | Optional | can be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-reg_idx.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/reg_idx") | -| [indirection](#indirection) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-indirection.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/indirection") | -| [indir_out_spill](#indir_out_spill) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-indir_out_spill.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/indir_out_spill") | -| [isect_slave_spill](#isect_slave_spill) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-isect_slave_spill.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/isect_slave_spill") | -| [isect_slave_credits](#isect_slave_credits) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-isect_slave_credits.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/isect_slave_credits") | -| [num_loops](#num_loops) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-num_loops.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/num_loops") | -| [index_credits](#index_credits) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-index_credits.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/index_credits") | -| [data_credits](#data_credits) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-data_credits.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/data_credits") | -| [mux_resp_depth](#mux_resp_depth) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-mux_resp_depth.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/mux_resp_depth") | -| [index_width](#index_width) | `number` | Optional | can be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-index_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/index_width") | -| [pointer_width](#pointer_width) | `number` | Optional | can be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-pointer_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/pointer_width") | -| [shift_width](#shift_width) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-shift_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/shift_width") | -| [rpt_width](#rpt_width) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-rpt_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/rpt_width") | - -## reg_idx - -The floating-point register index this SSR is assigned to. If not assigned, the next available index counting from 0 is chosen. - -`reg_idx` - -* is optional - -* Type: `number` - -* can be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-reg_idx.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/reg_idx") - -### reg_idx Type - -`number` - -### reg_idx Constraints - -**maximum**: the value of this number must smaller than or equal to: `31` - -**minimum**: the value of this number must greater than or equal to: `0` - -## indirection - -Enable indirection extension. - -`indirection` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-indirection.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/indirection") - -### indirection Type - -`boolean` - -## indir_out_spill - -Whether to cut timing paths with a spill register at the address generator output; added only if indirection extension enabled. - -`indir_out_spill` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-indir_out_spill.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/indir_out_spill") - -### indir_out_spill Type - -`boolean` - -### indir_out_spill Default Value - -The default value is: - -```json -true -``` - -## isect_slave_spill - -Whether to cut timing paths with a spill register at the intersector index output; added only if this SSR is an intersection slave. - -`isect_slave_spill` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-isect_slave_spill.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/isect_slave_spill") - -### isect_slave_spill Type - -`boolean` - -### isect_slave_spill Default Value - -The default value is: - -```json -true -``` - -## isect_slave_credits - -Number of elements by which intersected indices may outrun corresponding data; added only if this SSR is an intersection slave. - -`isect_slave_credits` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-isect_slave_credits.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/isect_slave_credits") - -### isect_slave_credits Type - -`number` - -### isect_slave_credits Constraints - -**minimum**: the value of this number must greater than or equal to: `2` - -### isect_slave_credits Default Value - -The default value is: - -```json -8 -``` - -## num_loops - -Number of nested hardware loops in address generator. - -`num_loops` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-num_loops.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/num_loops") - -### num_loops Type - -`number` - -### num_loops Constraints - -**maximum**: the value of this number must smaller than or equal to: `4` - -**minimum**: the value of this number must greater than or equal to: `1` - -### num_loops Default Value - -The default value is: - -```json -4 -``` - -## index_credits - -Number of credits and buffer depth of the index word FIFO. - -`index_credits` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-index_credits.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/index_credits") - -### index_credits Type - -`number` - -### index_credits Constraints - -**minimum**: the value of this number must greater than or equal to: `1` - -### index_credits Default Value - -The default value is: - -```json -3 -``` - -## data_credits - -Number of credits and buffer depth of the data word FIFO. - -`data_credits` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-data_credits.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/data_credits") - -### data_credits Type - -`number` - -### data_credits Constraints - -**minimum**: the value of this number must greater than or equal to: `1` - -### data_credits Default Value - -The default value is: - -```json -4 -``` - -## mux_resp_depth - -Depth of response buffer in the TCDM multiplexer arbitrating between data and indices. - -`mux_resp_depth` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-mux_resp_depth.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/mux_resp_depth") - -### mux_resp_depth Type - -`number` - -### mux_resp_depth Constraints - -**minimum**: the value of this number must greater than or equal to: `1` - -### mux_resp_depth Default Value - -The default value is: - -```json -3 -``` - -## index_width - -Internal bitwidth of indices in address generator; default covers full TCDM in a unit-stride 1D loop. - -`index_width` - -* is optional - -* Type: `number` - -* can be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-index_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/index_width") - -### index_width Type - -`number` - -### index_width Constraints - -**maximum**: the value of this number must smaller than or equal to: `32` - -**minimum**: the value of this number must greater than or equal to: `1` - -## pointer_width - -Internal bitwidth of pointers in address generator; default covers full TCDM - -`pointer_width` - -* is optional - -* Type: `number` - -* can be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-pointer_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/pointer_width") - -### pointer_width Type - -`number` - -### pointer_width Constraints - -**maximum**: the value of this number must smaller than or equal to: `32` - -## shift_width - -Internal bitwidth of additional left shift amount for indirect indices. - -`shift_width` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-shift_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/shift_width") - -### shift_width Type - -`number` - -### shift_width Constraints - -**maximum**: the value of this number must smaller than or equal to: `32` - -**minimum**: the value of this number must greater than or equal to: `1` - -### shift_width Default Value - -The default value is: - -```json -3 -``` - -## rpt_width - -Internal bitwidth of repetition counter for read streams. - -`rpt_width` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description-properties-rpt_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs/items/properties/rpt_width") - -### rpt_width Type - -`number` - -### rpt_width Constraints - -**maximum**: the value of this number must smaller than or equal to: `32` - -**minimum**: the value of this number must greater than or equal to: `1` - -### rpt_width Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs.md deleted file mode 100644 index 3bdd222bb..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs.md +++ /dev/null @@ -1,31 +0,0 @@ -# SSRs Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs -``` - -List of all SSRs in the respective core. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## ssrs Type - -`object[]` ([SSR Description](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description.md)) - -## ssrs Constraints - -**minimum number of items**: the minimum number of items for this array is: `0` - -## ssrs Default Value - -The default value is: - -```json -[ - {}, - {}, - {} -] -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xdiv_sqrt.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xdiv_sqrt.md deleted file mode 100644 index 592311f7b..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xdiv_sqrt.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/Xdiv_sqrt -``` - -Enable hardware support for floating-point division and square-root. The iterative floating-point unit is known to produce some rounding inaccuracies so it is disabled by default. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## Xdiv_sqrt Type - -`boolean` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xdma-extension.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xdma-extension.md deleted file mode 100644 index 305ca5bb2..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xdma-extension.md +++ /dev/null @@ -1,15 +0,0 @@ -# Xdma Extension Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xdma -``` - -Direct memory access (Xdma) custom extension. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## xdma Type - -`boolean` ([Xdma Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xdma-extension.md)) diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf16-16-bit-float-extension.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf16-16-bit-float-extension.md deleted file mode 100644 index 3e5994697..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf16-16-bit-float-extension.md +++ /dev/null @@ -1,15 +0,0 @@ -# Xf16 16-bit Float Extension Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xf16 -``` - -Enable Smallfloat Xf16 extension (IEEE 16-bit float). - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## xf16 Type - -`boolean` ([Xf16 16-bit Float Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf16-16-bit-float-extension.md)) diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf16alt-16-bit-brain-float-extension.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf16alt-16-bit-brain-float-extension.md deleted file mode 100644 index eeca0606c..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf16alt-16-bit-brain-float-extension.md +++ /dev/null @@ -1,15 +0,0 @@ -# Xf16alt 16-bit Brain-Float Extension Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xf16alt -``` - -Enable Smallfloat Xf16alt extension, also known as brain-float. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## xf16alt Type - -`boolean` ([Xf16alt 16-bit Brain-Float Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf16alt-16-bit-brain-float-extension.md)) diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf8-8-bit-float-extension-1.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf8-8-bit-float-extension-1.md deleted file mode 100644 index 56e7a4806..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf8-8-bit-float-extension-1.md +++ /dev/null @@ -1,15 +0,0 @@ -# Xf8 8-bit Float Extension Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xf8alt -``` - -Enable Smallfloat Xf8alt extension. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## xf8alt Type - -`boolean` ([Xf8 8-bit Float Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf8-8-bit-float-extension-1.md)) diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf8-8-bit-float-extension.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf8-8-bit-float-extension.md deleted file mode 100644 index d21006ff0..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf8-8-bit-float-extension.md +++ /dev/null @@ -1,15 +0,0 @@ -# Xf8 8-bit Float Extension Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xf8 -``` - -Enable Smallfloat Xf8 extension (IEEE 8-bit float). - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## xf8 Type - -`boolean` ([Xf8 8-bit Float Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf8-8-bit-float-extension.md)) diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xfdotp-extension.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xfdotp-extension.md deleted file mode 100644 index 0b3b7d7a4..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xfdotp-extension.md +++ /dev/null @@ -1,15 +0,0 @@ -# Xfdotp Extension Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xfdotp -``` - -Enable DOTP extensions. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## xfdotp Type - -`boolean` ([Xfdotp Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xfdotp-extension.md)) diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xfvec-extension.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xfvec-extension.md deleted file mode 100644 index 7a69a40d5..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xfvec-extension.md +++ /dev/null @@ -1,15 +0,0 @@ -# Xfvec Extension Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xfvec -``` - -Enable Smallfloat vector extension (SIMD). - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## xfvec Type - -`boolean` ([Xfvec Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xfvec-extension.md)) diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description.md deleted file mode 100644 index 41e27e973..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores-core-description.md +++ /dev/null @@ -1,566 +0,0 @@ -# Core Description Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items -``` - -Description of a single core. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## items Type - -`object` ([Core Description](snitch_cluster-properties-hives-hive-description-properties-cores-core-description.md)) - -# Core Description Properties - -| Property | Type | Required | Nullable | Defined by | -| :-------------------------------------------------------- | :-------- | :------- | :------------- | :----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [isa](#isa) | `string` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-isa-string-containing-risc-v-standard-extensions.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/isa") | -| [Xdiv_sqrt](#xdiv_sqrt) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xdiv_sqrt.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/Xdiv_sqrt") | -| [xssr](#xssr) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-enable-xssr-extension.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xssr") | -| [xfrep](#xfrep) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-enable-xfrep-extension.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xfrep") | -| [xdma](#xdma) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xdma-extension.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xdma") | -| [xf8](#xf8) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf8-8-bit-float-extension.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xf8") | -| [xf8alt](#xf8alt) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf8-8-bit-float-extension-1.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xf8alt") | -| [xf16](#xf16) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf16-16-bit-float-extension.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xf16") | -| [xf16alt](#xf16alt) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf16alt-16-bit-brain-float-extension.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xf16alt") | -| [xfdotp](#xfdotp) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xfdotp-extension.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xfdotp") | -| [xfvec](#xfvec) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xfvec-extension.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xfvec") | -| [num_int_outstanding_loads](#num_int_outstanding_loads) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_int_outstanding_loads.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_int_outstanding_loads") | -| [num_int_outstanding_mem](#num_int_outstanding_mem) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_int_outstanding_mem.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_int_outstanding_mem") | -| [num_fp_outstanding_loads](#num_fp_outstanding_loads) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_fp_outstanding_loads.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_fp_outstanding_loads") | -| [num_fp_outstanding_mem](#num_fp_outstanding_mem) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_fp_outstanding_mem.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_fp_outstanding_mem") | -| [num_sequencer_instructions](#num_sequencer_instructions) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_sequencer_instructions.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_sequencer_instructions") | -| [num_itlb_entries](#num_itlb_entries) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_itlb_entries.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_itlb_entries") | -| [num_dtlb_entries](#num_dtlb_entries) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_dtlb_entries.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_dtlb_entries") | -| [ssr_intersection](#ssr_intersection) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr-intersection-feature.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssr_intersection") | -| [ssr_intersection_triple](#ssr_intersection_triple) | `array` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr-intersection-triple.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssr_intersection_triple") | -| [ssr_mux_resp_depth](#ssr_mux_resp_depth) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr_mux_resp_depth.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssr_mux_resp_depth") | -| [ssrs](#ssrs) | `array` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs") | - -## isa - -ISA string as defined by the RISC-V standard. Only contain the standardized ISA extensions. - -`isa` - -* is optional - -* Type: `string` ([ISA String containing RISC-V standard extensions.](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-isa-string-containing-risc-v-standard-extensions.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-isa-string-containing-risc-v-standard-extensions.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/isa") - -### isa Type - -`string` ([ISA String containing RISC-V standard extensions.](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-isa-string-containing-risc-v-standard-extensions.md)) - -### isa Default Value - -The default value is: - -```json -"rv32imafd" -``` - -### isa Examples - -```json -"rv32imafd" -``` - -## Xdiv_sqrt - -Enable hardware support for floating-point division and square-root. The iterative floating-point unit is known to produce some rounding inaccuracies so it is disabled by default. - -`Xdiv_sqrt` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xdiv_sqrt.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/Xdiv_sqrt") - -### Xdiv_sqrt Type - -`boolean` - -## xssr - -Stream Semantic Registers (Xssr) custom extension. - -`xssr` - -* is optional - -* Type: `boolean` ([Enable Xssr Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-enable-xssr-extension.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-enable-xssr-extension.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xssr") - -### xssr Type - -`boolean` ([Enable Xssr Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-enable-xssr-extension.md)) - -### xssr Default Value - -The default value is: - -```json -true -``` - -## xfrep - -Floating-point repetition buffer (Xfrep) custom extension. - -`xfrep` - -* is optional - -* Type: `boolean` ([Enable Xfrep Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-enable-xfrep-extension.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-enable-xfrep-extension.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xfrep") - -### xfrep Type - -`boolean` ([Enable Xfrep Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-enable-xfrep-extension.md)) - -### xfrep Default Value - -The default value is: - -```json -true -``` - -## xdma - -Direct memory access (Xdma) custom extension. - -`xdma` - -* is optional - -* Type: `boolean` ([Xdma Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xdma-extension.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xdma-extension.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xdma") - -### xdma Type - -`boolean` ([Xdma Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xdma-extension.md)) - -## xf8 - -Enable Smallfloat Xf8 extension (IEEE 8-bit float). - -`xf8` - -* is optional - -* Type: `boolean` ([Xf8 8-bit Float Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf8-8-bit-float-extension.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf8-8-bit-float-extension.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xf8") - -### xf8 Type - -`boolean` ([Xf8 8-bit Float Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf8-8-bit-float-extension.md)) - -## xf8alt - -Enable Smallfloat Xf8alt extension. - -`xf8alt` - -* is optional - -* Type: `boolean` ([Xf8 8-bit Float Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf8-8-bit-float-extension-1.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf8-8-bit-float-extension-1.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xf8alt") - -### xf8alt Type - -`boolean` ([Xf8 8-bit Float Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf8-8-bit-float-extension-1.md)) - -## xf16 - -Enable Smallfloat Xf16 extension (IEEE 16-bit float). - -`xf16` - -* is optional - -* Type: `boolean` ([Xf16 16-bit Float Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf16-16-bit-float-extension.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf16-16-bit-float-extension.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xf16") - -### xf16 Type - -`boolean` ([Xf16 16-bit Float Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf16-16-bit-float-extension.md)) - -## xf16alt - -Enable Smallfloat Xf16alt extension, also known as brain-float. - -`xf16alt` - -* is optional - -* Type: `boolean` ([Xf16alt 16-bit Brain-Float Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf16alt-16-bit-brain-float-extension.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf16alt-16-bit-brain-float-extension.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xf16alt") - -### xf16alt Type - -`boolean` ([Xf16alt 16-bit Brain-Float Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xf16alt-16-bit-brain-float-extension.md)) - -## xfdotp - -Enable DOTP extensions. - -`xfdotp` - -* is optional - -* Type: `boolean` ([Xfdotp Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xfdotp-extension.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xfdotp-extension.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xfdotp") - -### xfdotp Type - -`boolean` ([Xfdotp Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xfdotp-extension.md)) - -## xfvec - -Enable Smallfloat vector extension (SIMD). - -`xfvec` - -* is optional - -* Type: `boolean` ([Xfvec Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xfvec-extension.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xfvec-extension.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/xfvec") - -### xfvec Type - -`boolean` ([Xfvec Extension](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-xfvec-extension.md)) - -## num_int_outstanding_loads - -Number of outstanding integer loads. Determines the buffer size in the core's load/store unit. - -`num_int_outstanding_loads` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_int_outstanding_loads.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_int_outstanding_loads") - -### num_int_outstanding_loads Type - -`number` - -### num_int_outstanding_loads Default Value - -The default value is: - -```json -1 -``` - -## num_int_outstanding_mem - -Number of outstanding memory operations. Determines the buffer size in the core's load/store unit. - -`num_int_outstanding_mem` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_int_outstanding_mem.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_int_outstanding_mem") - -### num_int_outstanding_mem Type - -`number` - -### num_int_outstanding_mem Default Value - -The default value is: - -```json -1 -``` - -## num_fp_outstanding_loads - -Number of outstanding floating-point loads. Determines the buffer size in the FPU's load/store unit. - -`num_fp_outstanding_loads` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_fp_outstanding_loads.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_fp_outstanding_loads") - -### num_fp_outstanding_loads Type - -`number` - -### num_fp_outstanding_loads Default Value - -The default value is: - -```json -4 -``` - -## num_fp_outstanding_mem - -Number of outstanding memory operations. Determines the buffer size in the core's load/store unit. - -`num_fp_outstanding_mem` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_fp_outstanding_mem.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_fp_outstanding_mem") - -### num_fp_outstanding_mem Type - -`number` - -### num_fp_outstanding_mem Default Value - -The default value is: - -```json -1 -``` - -## num_sequencer_instructions - -Amount of floating-point instruction the floating-point sequence buffer can hold. - -`num_sequencer_instructions` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_sequencer_instructions.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_sequencer_instructions") - -### num_sequencer_instructions Type - -`number` - -### num_sequencer_instructions Default Value - -The default value is: - -```json -16 -``` - -## num_itlb_entries - -Number of ITLB entries. Determines the core's size. - -`num_itlb_entries` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_itlb_entries.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_itlb_entries") - -### num_itlb_entries Type - -`number` - -### num_itlb_entries Default Value - -The default value is: - -```json -1 -``` - -## num_dtlb_entries - -Number of DTLB entries. Determines the core's size. - -`num_dtlb_entries` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-num_dtlb_entries.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/num_dtlb_entries") - -### num_dtlb_entries Type - -`number` - -### num_dtlb_entries Default Value - -The default value is: - -```json -2 -``` - -## ssr_intersection - -Enable intersection between 3 SSRs for sparse-sparse processing. - -`ssr_intersection` - -* is optional - -* Type: `boolean` ([SSR Intersection Feature](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr-intersection-feature.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr-intersection-feature.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssr_intersection") - -### ssr_intersection Type - -`boolean` ([SSR Intersection Feature](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr-intersection-feature.md)) - -## ssr_intersection_triple - -Indices of the SSRs forming an SSR intersection triple. - -`ssr_intersection_triple` - -* is optional - -* Type: `number[]` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr-intersection-triple.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssr_intersection_triple") - -### ssr_intersection_triple Type - -`number[]` - -### ssr_intersection_triple Constraints - -**maximum number of items**: the maximum number of items for this array is: `3` - -**minimum number of items**: the minimum number of items for this array is: `3` - -### ssr_intersection_triple Default Value - -The default value is: - -```json -[ - 0, - 1, - 2 -] -``` - -## ssr_mux_resp_depth - -Depth of response buffer in the TCDM multiplexer arbitrating between core and SSR 0. - -`ssr_mux_resp_depth` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssr_mux_resp_depth.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssr_mux_resp_depth") - -### ssr_mux_resp_depth Type - -`number` - -### ssr_mux_resp_depth Default Value - -The default value is: - -```json -4 -``` - -## ssrs - -List of all SSRs in the respective core. - -`ssrs` - -* is optional - -* Type: `object[]` ([SSR Description](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores/items/properties/ssrs") - -### ssrs Type - -`object[]` ([SSR Description](snitch_cluster-properties-hives-hive-description-properties-cores-core-description-properties-ssrs-ssr-description.md)) - -### ssrs Constraints - -**minimum number of items**: the minimum number of items for this array is: `0` - -### ssrs Default Value - -The default value is: - -```json -[ - {}, - {}, - {} -] -``` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores.md deleted file mode 100644 index e97a53ae5..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-cores.md +++ /dev/null @@ -1,19 +0,0 @@ -# Cores Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores -``` - -List of all cores in the respective hive. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## cores Type - -`object[]` ([Core Description](snitch_cluster-properties-hives-hive-description-properties-cores-core-description.md)) - -## cores Constraints - -**minimum number of items**: the minimum number of items for this array is: `1` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration-default.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration-default.md deleted file mode 100644 index 51b7dbaa9..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration-default.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled undefined type in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/icache/default -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## default Type - -unknown diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration-properties-cacheline.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration-properties-cacheline.md deleted file mode 100644 index 6e45e6deb..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration-properties-cacheline.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/icache/properties/cacheline -``` - -Cacheline/Word size in bits. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## cacheline Type - -`number` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration-properties-sets.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration-properties-sets.md deleted file mode 100644 index f44efcc4a..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration-properties-sets.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/icache/properties/sets -``` - -Number of ways. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## sets Type - -`number` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration-properties-size.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration-properties-size.md deleted file mode 100644 index 23bc66ce5..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration-properties-size.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/icache/properties/size -``` - -Total instruction cache size in KiByte. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## size Type - -`number` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration.md deleted file mode 100644 index 9146ceddd..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration.md +++ /dev/null @@ -1,89 +0,0 @@ -# Hive's instruction cache configuration. Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/icache -``` - -Detailed configuration of the current Hive's instruction cache. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## icache Type - -`object` ([Hive's instruction cache configuration.](snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration.md)) - -## icache Default Value - -The default value is: - -```json -{ - "size": 8, - "sets": 2, - "cacheline": 128 -} -``` - -# Hive's instruction cache configuration. Properties - -| Property | Type | Required | Nullable | Defined by | -| :---------------------- | :------- | :------- | :------------- | :----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [size](#size) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration-properties-size.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/icache/properties/size") | -| [sets](#sets) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration-properties-sets.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/icache/properties/sets") | -| [cacheline](#cacheline) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration-properties-cacheline.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/icache/properties/cacheline") | - -## size - -Total instruction cache size in KiByte. - -`size` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration-properties-size.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/icache/properties/size") - -### size Type - -`number` - -## sets - -Number of ways. - -`sets` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration-properties-sets.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/icache/properties/sets") - -### sets Type - -`number` - -## cacheline - -Cacheline/Word size in bits. - -`cacheline` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration-properties-cacheline.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/icache/properties/cacheline") - -### cacheline Type - -`number` diff --git a/docs/schema-doc/snitch_cluster-properties-hives-hive-description.md b/docs/schema-doc/snitch_cluster-properties-hives-hive-description.md deleted file mode 100644 index 549c3040f..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives-hive-description.md +++ /dev/null @@ -1,74 +0,0 @@ -# Hive Description Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items -``` - -Configuration of a Hive - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## items Type - -`object` ([Hive Description](snitch_cluster-properties-hives-hive-description.md)) - -# Hive Description Properties - -| Property | Type | Required | Nullable | Defined by | -| :---------------- | :------- | :------- | :------------- | :----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [icache](#icache) | `object` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/icache") | -| [cores](#cores) | `array` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores") | - -## icache - -Detailed configuration of the current Hive's instruction cache. - -`icache` - -* is optional - -* Type: `object` ([Hive's instruction cache configuration.](snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/icache") - -### icache Type - -`object` ([Hive's instruction cache configuration.](snitch_cluster-properties-hives-hive-description-properties-hives-instruction-cache-configuration.md)) - -### icache Default Value - -The default value is: - -```json -{ - "size": 8, - "sets": 2, - "cacheline": 128 -} -``` - -## cores - -List of all cores in the respective hive. - -`cores` - -* is optional - -* Type: `object[]` ([Core Description](snitch_cluster-properties-hives-hive-description-properties-cores-core-description.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives-hive-description-properties-cores.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives/items/properties/cores") - -### cores Type - -`object[]` ([Core Description](snitch_cluster-properties-hives-hive-description-properties-cores-core-description.md)) - -### cores Constraints - -**minimum number of items**: the minimum number of items for this array is: `1` diff --git a/docs/schema-doc/snitch_cluster-properties-hives.md b/docs/schema-doc/snitch_cluster-properties-hives.md deleted file mode 100644 index 1f006edd4..000000000 --- a/docs/schema-doc/snitch_cluster-properties-hives.md +++ /dev/null @@ -1,19 +0,0 @@ -# Hives Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives -``` - -Cores in a hive share an instruction cache and other shared infrastructure such as the PTW or the multiply/divide unit. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## hives Type - -`object[]` ([Hive Description](snitch_cluster-properties-hives-hive-description.md)) - -## hives Constraints - -**minimum number of items**: the minimum number of items for this array is: `1` diff --git a/docs/schema-doc/snitch_cluster-properties-id_width_in.md b/docs/schema-doc/snitch_cluster-properties-id_width_in.md deleted file mode 100644 index 68cf5e708..000000000 --- a/docs/schema-doc/snitch_cluster-properties-id_width_in.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/id_width_in -``` - -Id width of the narrower AXI plug into the cluster. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## id_width_in Type - -`number` - -## id_width_in Default Value - -The default value is: - -```json -2 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-mode.md b/docs/schema-doc/snitch_cluster-properties-mode.md deleted file mode 100644 index c8c8d4d52..000000000 --- a/docs/schema-doc/snitch_cluster-properties-mode.md +++ /dev/null @@ -1,17 +0,0 @@ -# Untitled string in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/mode -``` - -Supported mode by the processor, can be msu. - -> Currently ignored. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## mode Type - -`string` diff --git a/docs/schema-doc/snitch_cluster-properties-name.md b/docs/schema-doc/snitch_cluster-properties-name.md deleted file mode 100644 index 0ab3b9725..000000000 --- a/docs/schema-doc/snitch_cluster-properties-name.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled string in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/name -``` - -Optional name for the generated wrapper. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## name Type - -`string` - -## name Default Value - -The default value is: - -```json -"snitch_cluster" -``` diff --git a/docs/schema-doc/snitch_cluster-properties-narrow_trans.md b/docs/schema-doc/snitch_cluster-properties-narrow_trans.md deleted file mode 100644 index 7fd27b41f..000000000 --- a/docs/schema-doc/snitch_cluster-properties-narrow_trans.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/narrow_trans -``` - -Outstanding transactions on the narrow AXI network - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## narrow_trans Type - -`number` - -## narrow_trans Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-sram_cfg_expose.md b/docs/schema-doc/snitch_cluster-properties-sram_cfg_expose.md deleted file mode 100644 index 623237975..000000000 --- a/docs/schema-doc/snitch_cluster-properties-sram_cfg_expose.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/sram_cfg_expose -``` - -Whether to expose memory cut configuration inputs for implementation - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## sram_cfg_expose Type - -`boolean` diff --git a/docs/schema-doc/snitch_cluster-properties-sram_cfg_fields-additionalproperties.md b/docs/schema-doc/snitch_cluster-properties-sram_cfg_fields-additionalproperties.md deleted file mode 100644 index d78bb0358..000000000 --- a/docs/schema-doc/snitch_cluster-properties-sram_cfg_fields-additionalproperties.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/sram_cfg_fields/additionalProperties -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## additionalProperties Type - -`number` - -## additionalProperties Constraints - -**minimum**: the value of this number must greater than or equal to: `1` diff --git a/docs/schema-doc/snitch_cluster-properties-sram_cfg_fields-default.md b/docs/schema-doc/snitch_cluster-properties-sram_cfg_fields-default.md deleted file mode 100644 index d4f8b3df7..000000000 --- a/docs/schema-doc/snitch_cluster-properties-sram_cfg_fields-default.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled undefined type in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/sram_cfg_fields/default -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## default Type - -unknown diff --git a/docs/schema-doc/snitch_cluster-properties-sram_cfg_fields-propertynames.md b/docs/schema-doc/snitch_cluster-properties-sram_cfg_fields-propertynames.md deleted file mode 100644 index 2d1cd428b..000000000 --- a/docs/schema-doc/snitch_cluster-properties-sram_cfg_fields-propertynames.md +++ /dev/null @@ -1,25 +0,0 @@ -# Untitled undefined type in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/sram_cfg_fields/propertyNames -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## propertyNames Type - -unknown - -## propertyNames Constraints - -**pattern**: the string must match the following regular expression: - -```regexp -^[A-Za-z_][A-Za-z0-9_]*$ -``` - -[try pattern](https://regexr.com/?expression=%5E%5BA-Za-z\_%5D%5BA-Za-z0-9\_%5D\*%24 "try regular expression with regexr.com") diff --git a/docs/schema-doc/snitch_cluster-properties-sram_cfg_fields.md b/docs/schema-doc/snitch_cluster-properties-sram_cfg_fields.md deleted file mode 100644 index b6b1a5379..000000000 --- a/docs/schema-doc/snitch_cluster-properties-sram_cfg_fields.md +++ /dev/null @@ -1,57 +0,0 @@ -# Untitled object in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/sram_cfg_fields -``` - -The names and widths of memory cut configuration inputs needed for implementation - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## sram_cfg_fields Type - -`object` ([Details](snitch_cluster-properties-sram_cfg_fields.md)) - -## sram_cfg_fields Constraints - -**minimum number of properties**: the minimum number of properties for this object is: `1` - -## sram_cfg_fields Default Value - -The default value is: - -```json -{ - "reserved": 1 -} -``` - -# undefined Properties - -| Property | Type | Required | Nullable | Defined by | -| :-------------------- | :------- | :------- | :------------- | :------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| Additional Properties | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-sram_cfg_fields-additionalproperties.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/sram_cfg_fields/additionalProperties") | - -## Additional Properties - -Additional properties are allowed, as long as they follow this schema: - - - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-sram_cfg_fields-additionalproperties.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/sram_cfg_fields/additionalProperties") - -### additionalProperties Type - -`number` - -### additionalProperties Constraints - -**minimum**: the value of this number must greater than or equal to: `1` diff --git a/docs/schema-doc/snitch_cluster-properties-tcdm-default.md b/docs/schema-doc/snitch_cluster-properties-tcdm-default.md deleted file mode 100644 index e4a57bce8..000000000 --- a/docs/schema-doc/snitch_cluster-properties-tcdm-default.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled undefined type in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/tcdm/default -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## default Type - -unknown diff --git a/docs/schema-doc/snitch_cluster-properties-tcdm-properties-banks.md b/docs/schema-doc/snitch_cluster-properties-tcdm-properties-banks.md deleted file mode 100644 index 3a629ce5a..000000000 --- a/docs/schema-doc/snitch_cluster-properties-tcdm-properties-banks.md +++ /dev/null @@ -1,25 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/tcdm/properties/banks -``` - -Number of banks. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## banks Type - -`number` - -## banks Examples - -```json -16 -``` - -```json -32 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-tcdm-properties-size.md b/docs/schema-doc/snitch_cluster-properties-tcdm-properties-size.md deleted file mode 100644 index 9c748016b..000000000 --- a/docs/schema-doc/snitch_cluster-properties-tcdm-properties-size.md +++ /dev/null @@ -1,25 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/tcdm/properties/size -``` - -Size of TCDM in KiByte. Divided in `n` banks. The total size must be divisible by the number of banks. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## size Type - -`number` - -## size Examples - -```json -128 -``` - -```json -64 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-tcdm.md b/docs/schema-doc/snitch_cluster-properties-tcdm.md deleted file mode 100644 index 3363ce0b9..000000000 --- a/docs/schema-doc/snitch_cluster-properties-tcdm.md +++ /dev/null @@ -1,89 +0,0 @@ -# Untitled object in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/tcdm -``` - -Configuration of the Tightly Coupled Data Memory of this cluster. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## tcdm Type - -`object` ([Details](snitch_cluster-properties-tcdm.md)) - -## tcdm Default Value - -The default value is: - -```json -{ - "size": 128, - "banks": 32 -} -``` - -# undefined Properties - -| Property | Type | Required | Nullable | Defined by | -| :-------------- | :------- | :------- | :------------- | :------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [size](#size) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-tcdm-properties-size.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/tcdm/properties/size") | -| [banks](#banks) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-tcdm-properties-banks.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/tcdm/properties/banks") | - -## size - -Size of TCDM in KiByte. Divided in `n` banks. The total size must be divisible by the number of banks. - -`size` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-tcdm-properties-size.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/tcdm/properties/size") - -### size Type - -`number` - -### size Examples - -```json -128 -``` - -```json -64 -``` - -## banks - -Number of banks. - -`banks` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-tcdm-properties-banks.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/tcdm/properties/banks") - -### banks Type - -`number` - -### banks Examples - -```json -16 -``` - -```json -32 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-fpu_pipe_config.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-fpu_pipe_config.md deleted file mode 100644 index 55659149c..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-fpu_pipe_config.md +++ /dev/null @@ -1,34 +0,0 @@ -# Untitled string in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/fpu_pipe_config -``` - -Pipeline configuration (i.e., position of the registers) of the FPU. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## fpu_pipe_config Type - -`string` - -## fpu_pipe_config Constraints - -**enum**: the value of this property must be equal to one of the following values: - -| Value | Explanation | -| :-------------- | :---------- | -| `"BEFORE"` | | -| `"AFTER"` | | -| `"INSIDE"` | | -| `"DISTRIBUTED"` | | - -## fpu_pipe_config Default Value - -The default value is: - -```json -"BEFORE" -``` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-iso_crossings.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-iso_crossings.md deleted file mode 100644 index d72beb9bb..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-iso_crossings.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/iso_crossings -``` - -Enable isochronous crossings, this clocks the integer core at half the speed of the rest of the system. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## iso_crossings Type - -`boolean` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp16.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp16.md deleted file mode 100644 index d78671b7d..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp16.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_comp_fp16 -``` - -Latency setting (number of pipeline stages) for FP16. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## lat_comp_fp16 Type - -`number` - -## lat_comp_fp16 Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp16_alt.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp16_alt.md deleted file mode 100644 index 60cd1e77b..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp16_alt.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_comp_fp16_alt -``` - -Latency setting (number of pipeline stages) for FP16alt (brainfloat). - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## lat_comp_fp16\_alt Type - -`number` - -## lat_comp_fp16\_alt Default Value - -The default value is: - -```json -2 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp32.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp32.md deleted file mode 100644 index 4e71af572..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp32.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_comp_fp32 -``` - -Latency setting (number of pipeline stages) for FP32. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## lat_comp_fp32 Type - -`number` - -## lat_comp_fp32 Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp64.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp64.md deleted file mode 100644 index 4aa054c16..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp64.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_comp_fp64 -``` - -Latency setting (number of pipeline stages) for FP64. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## lat_comp_fp64 Type - -`number` - -## lat_comp_fp64 Default Value - -The default value is: - -```json -3 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp8.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp8.md deleted file mode 100644 index 03f3f63e2..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp8.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_comp_fp8 -``` - -Latency setting (number of pipeline stages) for FP8. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## lat_comp_fp8 Type - -`number` - -## lat_comp_fp8 Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp8alt.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp8alt.md deleted file mode 100644 index a68439f50..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp8alt.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_comp_fp8alt -``` - -Latency setting (number of pipeline stages) for FP8alt. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## lat_comp_fp8alt Type - -`number` - -## lat_comp_fp8alt Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_conv.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_conv.md deleted file mode 100644 index 2a6738b58..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_conv.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_conv -``` - -Latency setting (number of pipeline stages) for floating-point conversion instructions. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## lat_conv Type - -`number` - -## lat_conv Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_noncomp.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_noncomp.md deleted file mode 100644 index e103b4eb4..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_noncomp.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_noncomp -``` - -Latency setting (number of pipeline stages) for floating-point non-computational instructions (except conversions), i.e., `classify`, etc. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## lat_noncomp Type - -`number` - -## lat_noncomp Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_sdotp.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_sdotp.md deleted file mode 100644 index 226c89874..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_sdotp.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_sdotp -``` - -Latency setting (number of pipeline stages) for floating-point expanding dot product with accumulation. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## lat_sdotp Type - -`number` - -## lat_sdotp Default Value - -The default value is: - -```json -2 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-narrow_xbar_latency.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-narrow_xbar_latency.md deleted file mode 100644 index 8d25cbe14..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-narrow_xbar_latency.md +++ /dev/null @@ -1,37 +0,0 @@ -# Untitled string in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/narrow_xbar_latency -``` - -Latency mode of the cluster crossbar. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## narrow_xbar_latency Type - -`string` - -## narrow_xbar_latency Constraints - -**enum**: the value of this property must be equal to one of the following values: - -| Value | Explanation | -| :---------------- | :---------- | -| `"NO_LATENCY"` | | -| `"CUT_SLV_AX"` | | -| `"CUT_MST_AX"` | | -| `"CUT_ALL_AX"` | | -| `"CUT_SLV_PORTS"` | | -| `"CUT_MST_PORTS"` | | -| `"CUT_ALL_PORTS"` | | - -## narrow_xbar_latency Default Value - -The default value is: - -```json -"CUT_ALL_PORTS" -``` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_core_req.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_core_req.md deleted file mode 100644 index 089a0e088..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_core_req.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_core_req -``` - -Insert Pipeline registers into data memory request path. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## register_core_req Type - -`boolean` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_core_rsp.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_core_rsp.md deleted file mode 100644 index eb22c6b8f..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_core_rsp.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_core_rsp -``` - -Insert Pipeline registers into data memory response path. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## register_core_rsp Type - -`boolean` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_ext_narrow.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_ext_narrow.md deleted file mode 100644 index dc26ff357..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_ext_narrow.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_ext_narrow -``` - -Decouple narrow external AXI plug. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## register_ext_narrow Type - -`boolean` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_ext_wide.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_ext_wide.md deleted file mode 100644 index 3621afe66..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_ext_wide.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_ext_wide -``` - -Decouple wide external AXI plug. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## register_ext_wide Type - -`boolean` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_fpu_in.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_fpu_in.md deleted file mode 100644 index e05a4ece6..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_fpu_in.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_fpu_in -``` - -Insert Pipeline registers immediately before FPU datapath - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## register_fpu_in Type - -`boolean` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_fpu_out.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_fpu_out.md deleted file mode 100644 index 84d6580c3..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_fpu_out.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_fpu_out -``` - -Insert Pipeline registers immediately after FPU datapath - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## register_fpu_out Type - -`boolean` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_fpu_req.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_fpu_req.md deleted file mode 100644 index 2baaa4b03..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_fpu_req.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_fpu_req -``` - -Insert Pipeline register into the FPU request data path - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## register_fpu_req Type - -`boolean` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_offload_req.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_offload_req.md deleted file mode 100644 index 749499022..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_offload_req.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_offload_req -``` - -Insert Pipeline registers into off-loading path (request). - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## register_offload_req Type - -`boolean` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_offload_rsp.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_offload_rsp.md deleted file mode 100644 index f2e3dfaf4..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_offload_rsp.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_offload_rsp -``` - -Insert Pipeline registers into off-loading path (response). - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## register_offload_rsp Type - -`boolean` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_sequencer.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_sequencer.md deleted file mode 100644 index 32124ea58..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_sequencer.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_sequencer -``` - -Insert Pipeline registers after sequencer. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## register_sequencer Type - -`boolean` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_tcdm_cuts.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_tcdm_cuts.md deleted file mode 100644 index 8dc4337a7..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_tcdm_cuts.md +++ /dev/null @@ -1,15 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_tcdm_cuts -``` - -Insert Pipeline registers after each memory cut. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## register_tcdm_cuts Type - -`boolean` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-wide_xbar_latency.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-wide_xbar_latency.md deleted file mode 100644 index f9c4a48e6..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-wide_xbar_latency.md +++ /dev/null @@ -1,37 +0,0 @@ -# Untitled string in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/wide_xbar_latency -``` - -Latency mode of the DMA crossbar. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## wide_xbar_latency Type - -`string` - -## wide_xbar_latency Constraints - -**enum**: the value of this property must be equal to one of the following values: - -| Value | Explanation | -| :---------------- | :---------- | -| `"NO_LATENCY"` | | -| `"CUT_SLV_AX"` | | -| `"CUT_MST_AX"` | | -| `"CUT_ALL_AX"` | | -| `"CUT_SLV_PORTS"` | | -| `"CUT_MST_PORTS"` | | -| `"CUT_ALL_PORTS"` | | - -## wide_xbar_latency Default Value - -The default value is: - -```json -"CUT_ALL_PORTS" -``` diff --git a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter.md b/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter.md deleted file mode 100644 index 1836f4785..000000000 --- a/docs/schema-doc/snitch_cluster-properties-timing-and-latency-tuning-parameter.md +++ /dev/null @@ -1,611 +0,0 @@ -# Timing and Latency Tuning Parameter Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing -``` - - - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## timing Type - -`object` ([Timing and Latency Tuning Parameter](snitch_cluster-properties-timing-and-latency-tuning-parameter.md)) - -# Timing and Latency Tuning Parameter Properties - -| Property | Type | Required | Nullable | Defined by | -| :-------------------------------------------- | :-------- | :------- | :------------- | :---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [iso_crossings](#iso_crossings) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-iso_crossings.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/iso_crossings") | -| [narrow_xbar_latency](#narrow_xbar_latency) | `string` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-narrow_xbar_latency.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/narrow_xbar_latency") | -| [wide_xbar_latency](#wide_xbar_latency) | `string` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-wide_xbar_latency.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/wide_xbar_latency") | -| [register_offload_req](#register_offload_req) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_offload_req.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_offload_req") | -| [register_offload_rsp](#register_offload_rsp) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_offload_rsp.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_offload_rsp") | -| [register_core_req](#register_core_req) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_core_req.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_core_req") | -| [register_core_rsp](#register_core_rsp) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_core_rsp.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_core_rsp") | -| [register_fpu_req](#register_fpu_req) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_fpu_req.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_fpu_req") | -| [register_fpu_in](#register_fpu_in) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_fpu_in.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_fpu_in") | -| [register_fpu_out](#register_fpu_out) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_fpu_out.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_fpu_out") | -| [register_tcdm_cuts](#register_tcdm_cuts) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_tcdm_cuts.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_tcdm_cuts") | -| [register_ext_wide](#register_ext_wide) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_ext_wide.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_ext_wide") | -| [register_ext_narrow](#register_ext_narrow) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_ext_narrow.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_ext_narrow") | -| [register_sequencer](#register_sequencer) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_sequencer.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_sequencer") | -| [lat_comp_fp32](#lat_comp_fp32) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp32.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_comp_fp32") | -| [lat_comp_fp64](#lat_comp_fp64) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp64.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_comp_fp64") | -| [lat_comp_fp16](#lat_comp_fp16) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp16.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_comp_fp16") | -| [lat_comp_fp16_alt](#lat_comp_fp16_alt) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp16_alt.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_comp_fp16_alt") | -| [lat_comp_fp8](#lat_comp_fp8) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp8.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_comp_fp8") | -| [lat_comp_fp8alt](#lat_comp_fp8alt) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp8alt.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_comp_fp8alt") | -| [lat_noncomp](#lat_noncomp) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_noncomp.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_noncomp") | -| [lat_conv](#lat_conv) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_conv.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_conv") | -| [lat_sdotp](#lat_sdotp) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_sdotp.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_sdotp") | -| [fpu_pipe_config](#fpu_pipe_config) | `string` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-fpu_pipe_config.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/fpu_pipe_config") | - -## iso_crossings - -Enable isochronous crossings, this clocks the integer core at half the speed of the rest of the system. - -`iso_crossings` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-iso_crossings.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/iso_crossings") - -### iso_crossings Type - -`boolean` - -## narrow_xbar_latency - -Latency mode of the cluster crossbar. - -`narrow_xbar_latency` - -* is optional - -* Type: `string` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-narrow_xbar_latency.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/narrow_xbar_latency") - -### narrow_xbar_latency Type - -`string` - -### narrow_xbar_latency Constraints - -**enum**: the value of this property must be equal to one of the following values: - -| Value | Explanation | -| :---------------- | :---------- | -| `"NO_LATENCY"` | | -| `"CUT_SLV_AX"` | | -| `"CUT_MST_AX"` | | -| `"CUT_ALL_AX"` | | -| `"CUT_SLV_PORTS"` | | -| `"CUT_MST_PORTS"` | | -| `"CUT_ALL_PORTS"` | | - -### narrow_xbar_latency Default Value - -The default value is: - -```json -"CUT_ALL_PORTS" -``` - -## wide_xbar_latency - -Latency mode of the DMA crossbar. - -`wide_xbar_latency` - -* is optional - -* Type: `string` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-wide_xbar_latency.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/wide_xbar_latency") - -### wide_xbar_latency Type - -`string` - -### wide_xbar_latency Constraints - -**enum**: the value of this property must be equal to one of the following values: - -| Value | Explanation | -| :---------------- | :---------- | -| `"NO_LATENCY"` | | -| `"CUT_SLV_AX"` | | -| `"CUT_MST_AX"` | | -| `"CUT_ALL_AX"` | | -| `"CUT_SLV_PORTS"` | | -| `"CUT_MST_PORTS"` | | -| `"CUT_ALL_PORTS"` | | - -### wide_xbar_latency Default Value - -The default value is: - -```json -"CUT_ALL_PORTS" -``` - -## register_offload_req - -Insert Pipeline registers into off-loading path (request). - -`register_offload_req` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_offload_req.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_offload_req") - -### register_offload_req Type - -`boolean` - -## register_offload_rsp - -Insert Pipeline registers into off-loading path (response). - -`register_offload_rsp` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_offload_rsp.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_offload_rsp") - -### register_offload_rsp Type - -`boolean` - -## register_core_req - -Insert Pipeline registers into data memory request path. - -`register_core_req` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_core_req.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_core_req") - -### register_core_req Type - -`boolean` - -## register_core_rsp - -Insert Pipeline registers into data memory response path. - -`register_core_rsp` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_core_rsp.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_core_rsp") - -### register_core_rsp Type - -`boolean` - -## register_fpu_req - -Insert Pipeline register into the FPU request data path - -`register_fpu_req` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_fpu_req.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_fpu_req") - -### register_fpu_req Type - -`boolean` - -## register_fpu_in - -Insert Pipeline registers immediately before FPU datapath - -`register_fpu_in` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_fpu_in.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_fpu_in") - -### register_fpu_in Type - -`boolean` - -## register_fpu_out - -Insert Pipeline registers immediately after FPU datapath - -`register_fpu_out` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_fpu_out.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_fpu_out") - -### register_fpu_out Type - -`boolean` - -## register_tcdm_cuts - -Insert Pipeline registers after each memory cut. - -`register_tcdm_cuts` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_tcdm_cuts.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_tcdm_cuts") - -### register_tcdm_cuts Type - -`boolean` - -## register_ext_wide - -Decouple wide external AXI plug. - -`register_ext_wide` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_ext_wide.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_ext_wide") - -### register_ext_wide Type - -`boolean` - -## register_ext_narrow - -Decouple narrow external AXI plug. - -`register_ext_narrow` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_ext_narrow.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_ext_narrow") - -### register_ext_narrow Type - -`boolean` - -## register_sequencer - -Insert Pipeline registers after sequencer. - -`register_sequencer` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-register_sequencer.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/register_sequencer") - -### register_sequencer Type - -`boolean` - -## lat_comp_fp32 - -Latency setting (number of pipeline stages) for FP32. - -`lat_comp_fp32` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp32.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_comp_fp32") - -### lat_comp_fp32 Type - -`number` - -### lat_comp_fp32 Default Value - -The default value is: - -```json -3 -``` - -## lat_comp_fp64 - -Latency setting (number of pipeline stages) for FP64. - -`lat_comp_fp64` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp64.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_comp_fp64") - -### lat_comp_fp64 Type - -`number` - -### lat_comp_fp64 Default Value - -The default value is: - -```json -3 -``` - -## lat_comp_fp16 - -Latency setting (number of pipeline stages) for FP16. - -`lat_comp_fp16` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp16.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_comp_fp16") - -### lat_comp_fp16 Type - -`number` - -### lat_comp_fp16 Default Value - -The default value is: - -```json -1 -``` - -## lat_comp_fp16\_alt - -Latency setting (number of pipeline stages) for FP16alt (brainfloat). - -`lat_comp_fp16_alt` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp16\_alt.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_comp_fp16\_alt") - -### lat_comp_fp16\_alt Type - -`number` - -### lat_comp_fp16\_alt Default Value - -The default value is: - -```json -2 -``` - -## lat_comp_fp8 - -Latency setting (number of pipeline stages) for FP8. - -`lat_comp_fp8` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp8.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_comp_fp8") - -### lat_comp_fp8 Type - -`number` - -### lat_comp_fp8 Default Value - -The default value is: - -```json -1 -``` - -## lat_comp_fp8alt - -Latency setting (number of pipeline stages) for FP8alt. - -`lat_comp_fp8alt` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_comp_fp8alt.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_comp_fp8alt") - -### lat_comp_fp8alt Type - -`number` - -### lat_comp_fp8alt Default Value - -The default value is: - -```json -1 -``` - -## lat_noncomp - -Latency setting (number of pipeline stages) for floating-point non-computational instructions (except conversions), i.e., `classify`, etc. - -`lat_noncomp` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_noncomp.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_noncomp") - -### lat_noncomp Type - -`number` - -### lat_noncomp Default Value - -The default value is: - -```json -1 -``` - -## lat_conv - -Latency setting (number of pipeline stages) for floating-point conversion instructions. - -`lat_conv` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_conv.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_conv") - -### lat_conv Type - -`number` - -### lat_conv Default Value - -The default value is: - -```json -1 -``` - -## lat_sdotp - -Latency setting (number of pipeline stages) for floating-point expanding dot product with accumulation. - -`lat_sdotp` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-lat_sdotp.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/lat_sdotp") - -### lat_sdotp Type - -`number` - -### lat_sdotp Default Value - -The default value is: - -```json -2 -``` - -## fpu_pipe_config - -Pipeline configuration (i.e., position of the registers) of the FPU. - -`fpu_pipe_config` - -* is optional - -* Type: `string` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter-properties-fpu_pipe_config.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing/properties/fpu_pipe_config") - -### fpu_pipe_config Type - -`string` - -### fpu_pipe_config Constraints - -**enum**: the value of this property must be equal to one of the following values: - -| Value | Explanation | -| :-------------- | :---------- | -| `"BEFORE"` | | -| `"AFTER"` | | -| `"INSIDE"` | | -| `"DISTRIBUTED"` | | - -### fpu_pipe_config Default Value - -The default value is: - -```json -"BEFORE" -``` diff --git a/docs/schema-doc/snitch_cluster-properties-user_width.md b/docs/schema-doc/snitch_cluster-properties-user_width.md deleted file mode 100644 index aae3df0c8..000000000 --- a/docs/schema-doc/snitch_cluster-properties-user_width.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/user_width -``` - -User width of the narrower AXI plug into the cluster. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## user_width Type - -`number` - -## user_width Default Value - -The default value is: - -```json -1 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-vm_support.md b/docs/schema-doc/snitch_cluster-properties-vm_support.md deleted file mode 100644 index 22683a2ba..000000000 --- a/docs/schema-doc/snitch_cluster-properties-vm_support.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled boolean in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/vm_support -``` - -Whether to provide virtual memory support (Sv32). - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## vm_support Type - -`boolean` - -## vm_support Default Value - -The default value is: - -```json -true -``` diff --git a/docs/schema-doc/snitch_cluster-properties-wide_trans.md b/docs/schema-doc/snitch_cluster-properties-wide_trans.md deleted file mode 100644 index f2ffe462a..000000000 --- a/docs/schema-doc/snitch_cluster-properties-wide_trans.md +++ /dev/null @@ -1,23 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/wide_trans -``` - -Outstanding transactions on the wide AXI network - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## wide_trans Type - -`number` - -## wide_trans Default Value - -The default value is: - -```json -4 -``` diff --git a/docs/schema-doc/snitch_cluster-properties-zero_mem_size.md b/docs/schema-doc/snitch_cluster-properties-zero_mem_size.md deleted file mode 100644 index ed8e7ed19..000000000 --- a/docs/schema-doc/snitch_cluster-properties-zero_mem_size.md +++ /dev/null @@ -1,25 +0,0 @@ -# Untitled number in Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/zero_mem_size -``` - -Address region size reserved for the Zero-Memory in KiByte. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster.schema.json*](snitch_cluster.schema.json "open original schema") | - -## zero_mem_size Type - -`number` - -## zero_mem_size Examples - -```json -128 -``` - -```json -64 -``` diff --git a/docs/schema-doc/snitch_cluster.md b/docs/schema-doc/snitch_cluster.md deleted file mode 100644 index 23465f00a..000000000 --- a/docs/schema-doc/snitch_cluster.md +++ /dev/null @@ -1,666 +0,0 @@ -# Snitch Cluster Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster.schema.json -``` - -Base description of a Snitch cluster and its internal structure and configuration. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------ | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [snitch_cluster.schema.json](snitch_cluster.schema.json "open original schema") | - -## Snitch Cluster Schema Type - -`object` ([Snitch Cluster Schema](snitch_cluster.md)) - -# Snitch Cluster Schema Properties - -| Property | Type | Required | Nullable | Defined by | -| :------------------------------------------------ | :-------- | :------- | :------------- | :--------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [name](#name) | `string` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-name.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/name") | -| [boot_addr](#boot_addr) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-boot_addr.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/boot_addr") | -| [cluster_base_addr](#cluster_base_addr) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-cluster_base_addr.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/cluster_base_addr") | -| [tcdm](#tcdm) | `object` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-tcdm.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/tcdm") | -| [cluster_periph_size](#cluster_periph_size) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-cluster_periph_size.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/cluster_periph_size") | -| [zero_mem_size](#zero_mem_size) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-zero_mem_size.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/zero_mem_size") | -| [addr_width](#addr_width) | `number` | Required | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-addr_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/addr_width") | -| [data_width](#data_width) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-data_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/data_width") | -| [dma_data_width](#dma_data_width) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-dma_data_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_data_width") | -| [narrow_trans](#narrow_trans) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-narrow_trans.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/narrow_trans") | -| [wide_trans](#wide_trans) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-wide_trans.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/wide_trans") | -| [id_width_in](#id_width_in) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-id_width_in.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/id_width_in") | -| [dma_id_width_in](#dma_id_width_in) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-dma_id_width_in.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_id_width_in") | -| [user_width](#user_width) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-user_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/user_width") | -| [dma_user_width](#dma_user_width) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-dma_user_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_user_width") | -| [hart_base_id](#hart_base_id) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hart_base_id.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hart_base_id") | -| [mode](#mode) | `string` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-mode.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/mode") | -| [vm_support](#vm_support) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-vm_support.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/vm_support") | -| [dma_axi_req_fifo_depth](#dma_axi_req_fifo_depth) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-dma_axi_req_fifo_depth.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_axi_req_fifo_depth") | -| [dma_req_fifo_depth](#dma_req_fifo_depth) | `number` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-dma_req_fifo_depth.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_req_fifo_depth") | -| [enable_debug](#enable_debug) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-enable_debug.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/enable_debug") | -| [sram_cfg_expose](#sram_cfg_expose) | `boolean` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-sram_cfg_expose.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/sram_cfg_expose") | -| [sram_cfg_fields](#sram_cfg_fields) | `object` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-sram_cfg_fields.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/sram_cfg_fields") | -| [timing](#timing) | `object` | Optional | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing") | -| [hives](#hives) | `array` | Required | cannot be null | [Snitch Cluster Schema](snitch_cluster-properties-hives.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives") | - -## name - -Optional name for the generated wrapper. - -`name` - -* is optional - -* Type: `string` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-name.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/name") - -### name Type - -`string` - -### name Default Value - -The default value is: - -```json -"snitch_cluster" -``` - -## boot_addr - -Address from which all harts of the cluster start to boot. The default setting is `0x8000_0000`. - -`boot_addr` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-boot_addr.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/boot_addr") - -### boot_addr Type - -`number` - -### boot_addr Default Value - -The default value is: - -```json -2147483648 -``` - -## cluster_base_addr - -Base address of this cluster. - -`cluster_base_addr` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-cluster_base_addr.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/cluster_base_addr") - -### cluster_base_addr Type - -`number` - -## tcdm - -Configuration of the Tightly Coupled Data Memory of this cluster. - -`tcdm` - -* is optional - -* Type: `object` ([Details](snitch_cluster-properties-tcdm.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-tcdm.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/tcdm") - -### tcdm Type - -`object` ([Details](snitch_cluster-properties-tcdm.md)) - -### tcdm Default Value - -The default value is: - -```json -{ - "size": 128, - "banks": 32 -} -``` - -## cluster_periph_size - -Address region size reserved for cluster peripherals in KiByte. - -`cluster_periph_size` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-cluster_periph_size.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/cluster_periph_size") - -### cluster_periph_size Type - -`number` - -### cluster_periph_size Examples - -```json -128 -``` - -```json -64 -``` - -## zero_mem_size - -Address region size reserved for the Zero-Memory in KiByte. - -`zero_mem_size` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-zero_mem_size.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/zero_mem_size") - -### zero_mem_size Type - -`number` - -### zero_mem_size Examples - -```json -128 -``` - -```json -64 -``` - -## addr_width - -Length of the address, should be greater than 30. If the address is larger than 34 the data bus needs to be 64 bits in size. - -`addr_width` - -* is required - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-addr_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/addr_width") - -### addr_width Type - -`number` - -### addr_width Default Value - -The default value is: - -```json -48 -``` - -## data_width - -Data bus size of the integer core (everything except the DMA), must be 32 or 64. A double precision FPU requires 64 bit data length. - -`data_width` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-data_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/data_width") - -### data_width Type - -`number` - -### data_width Default Value - -The default value is: - -```json -64 -``` - -## dma_data_width - -Data bus size of DMA. Usually this is larger than the integer core as the DMA is used to efficiently transfer bulk of data. - -`dma_data_width` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-dma_data_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_data_width") - -### dma_data_width Type - -`number` - -### dma_data_width Default Value - -The default value is: - -```json -512 -``` - -## narrow_trans - -Outstanding transactions on the narrow AXI network - -`narrow_trans` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-narrow_trans.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/narrow_trans") - -### narrow_trans Type - -`number` - -### narrow_trans Default Value - -The default value is: - -```json -4 -``` - -## wide_trans - -Outstanding transactions on the wide AXI network - -`wide_trans` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-wide_trans.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/wide_trans") - -### wide_trans Type - -`number` - -### wide_trans Default Value - -The default value is: - -```json -4 -``` - -## id_width_in - -Id width of the narrower AXI plug into the cluster. - -`id_width_in` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-id_width_in.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/id_width_in") - -### id_width_in Type - -`number` - -### id_width_in Default Value - -The default value is: - -```json -2 -``` - -## dma_id_width_in - -Id width of the wide AXI plug into the cluster. - -`dma_id_width_in` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-dma_id_width_in.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_id_width_in") - -### dma_id_width_in Type - -`number` - -### dma_id_width_in Default Value - -The default value is: - -```json -1 -``` - -## user_width - -User width of the narrower AXI plug into the cluster. - -`user_width` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-user_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/user_width") - -### user_width Type - -`number` - -### user_width Default Value - -The default value is: - -```json -1 -``` - -## dma_user_width - -User width of the wide AXI plug into the cluster. - -`dma_user_width` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-dma_user_width.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_user_width") - -### dma_user_width Type - -`number` - -### dma_user_width Default Value - -The default value is: - -```json -1 -``` - -## hart_base_id - -Base hart id of the cluster. All cores get the respective cluster id plus their cluster position as the final `hart_id`. - -`hart_base_id` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hart_base_id.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hart_base_id") - -### hart_base_id Type - -`number` - -## mode - -Supported mode by the processor, can be msu. - -> Currently ignored. - -`mode` - -* is optional - -* Type: `string` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-mode.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/mode") - -### mode Type - -`string` - -## vm_support - -Whether to provide virtual memory support (Sv32). - -`vm_support` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-vm_support.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/vm_support") - -### vm_support Type - -`boolean` - -### vm_support Default Value - -The default value is: - -```json -true -``` - -## dma_axi_req_fifo_depth - -Number of AXI FIFO entries of the DMA engine. - -`dma_axi_req_fifo_depth` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-dma_axi_req_fifo_depth.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_axi_req_fifo_depth") - -### dma_axi_req_fifo_depth Type - -`number` - -### dma_axi_req_fifo_depth Default Value - -The default value is: - -```json -3 -``` - -## dma_req_fifo_depth - -Number of request entries the DMA can keep - -`dma_req_fifo_depth` - -* is optional - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-dma_req_fifo_depth.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/dma_req_fifo_depth") - -### dma_req_fifo_depth Type - -`number` - -### dma_req_fifo_depth Default Value - -The default value is: - -```json -3 -``` - -## enable_debug - -Whether to provide a debug request input and external debug features - -`enable_debug` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-enable_debug.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/enable_debug") - -### enable_debug Type - -`boolean` - -### enable_debug Default Value - -The default value is: - -```json -true -``` - -## sram_cfg_expose - -Whether to expose memory cut configuration inputs for implementation - -`sram_cfg_expose` - -* is optional - -* Type: `boolean` - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-sram_cfg_expose.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/sram_cfg_expose") - -### sram_cfg_expose Type - -`boolean` - -## sram_cfg_fields - -The names and widths of memory cut configuration inputs needed for implementation - -`sram_cfg_fields` - -* is optional - -* Type: `object` ([Details](snitch_cluster-properties-sram_cfg_fields.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-sram_cfg_fields.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/sram_cfg_fields") - -### sram_cfg_fields Type - -`object` ([Details](snitch_cluster-properties-sram_cfg_fields.md)) - -### sram_cfg_fields Constraints - -**minimum number of properties**: the minimum number of properties for this object is: `1` - -### sram_cfg_fields Default Value - -The default value is: - -```json -{ - "reserved": 1 -} -``` - -## timing - - - -`timing` - -* is optional - -* Type: `object` ([Timing and Latency Tuning Parameter](snitch_cluster-properties-timing-and-latency-tuning-parameter.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-timing-and-latency-tuning-parameter.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/timing") - -### timing Type - -`object` ([Timing and Latency Tuning Parameter](snitch_cluster-properties-timing-and-latency-tuning-parameter.md)) - -## hives - -Cores in a hive share an instruction cache and other shared infrastructure such as the PTW or the multiply/divide unit. - -`hives` - -* is required - -* Type: `object[]` ([Hive Description](snitch_cluster-properties-hives-hive-description.md)) - -* cannot be null - -* defined in: [Snitch Cluster Schema](snitch_cluster-properties-hives.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/hives") - -### hives Type - -`object[]` ([Hive Description](snitch_cluster-properties-hives-hive-description.md)) - -### hives Constraints - -**minimum number of items**: the minimum number of items for this array is: `1` diff --git a/docs/schema-doc/snitch_cluster.schema.json b/docs/schema-doc/snitch_cluster.schema.json deleted file mode 100644 index 871f4c81d..000000000 --- a/docs/schema-doc/snitch_cluster.schema.json +++ /dev/null @@ -1 +0,0 @@ -{"$schema":"http://json-schema.org/draft-07/schema#","$id":"http://pulp-platform.org/snitch/snitch_cluster.schema.json","title":"Snitch Cluster Schema","description":"Base description of a Snitch cluster and its internal structure and configuration.","type":"object","required":["addr_width","hives"],"properties":{"name":{"type":"string","description":"Optional name for the generated wrapper.","default":"snitch_cluster"},"boot_addr":{"type":"number","description":"Address from which all harts of the cluster start to boot. The default setting is `0x8000_0000`.","default":2147483648},"cluster_base_addr":{"type":"number","description":"Base address of this cluster.","default":0},"tcdm":{"type":"object","description":"Configuration of the Tightly Coupled Data Memory of this cluster.","default":{"size":128,"banks":32},"properties":{"size":{"type":"number","description":"Size of TCDM in KiByte. Divided in `n` banks. The total size must be divisible by the number of banks.","examples":[128,64]},"banks":{"type":"number","description":"Number of banks.","examples":[16,32]}}},"cluster_periph_size":{"type":"number","description":"Address region size reserved for cluster peripherals in KiByte.","examples":[128,64]},"zero_mem_size":{"type":"number","description":"Address region size reserved for the Zero-Memory in KiByte.","examples":[128,64]},"addr_width":{"type":"number","description":"Length of the address, should be greater than 30. If the address is larger than 34 the data bus needs to be 64 bits in size.","default":48},"data_width":{"type":"number","description":"Data bus size of the integer core (everything except the DMA), must be 32 or 64. A double precision FPU requires 64 bit data length.","default":64},"dma_data_width":{"type":"number","description":"Data bus size of DMA. Usually this is larger than the integer core as the DMA is used to efficiently transfer bulk of data.","default":512},"narrow_trans":{"type":"number","description":"Outstanding transactions on the narrow AXI network","default":4},"wide_trans":{"type":"number","description":"Outstanding transactions on the wide AXI network","default":4},"id_width_in":{"type":"number","description":"Id width of the narrower AXI plug into the cluster.","default":2},"dma_id_width_in":{"type":"number","description":"Id width of the wide AXI plug into the cluster.","default":1},"user_width":{"type":"number","description":"User width of the narrower AXI plug into the cluster.","default":1},"dma_user_width":{"type":"number","description":"User width of the wide AXI plug into the cluster.","default":1},"hart_base_id":{"type":"number","description":"Base hart id of the cluster. All cores get the respective cluster id plus their cluster position as the final `hart_id`.","default":0},"mode":{"type":"string","description":"Supported mode by the processor, can be msu.","$comment":"Currently ignored."},"vm_support":{"type":"boolean","description":"Whether to provide virtual memory support (Sv32).","default":true},"dma_axi_req_fifo_depth":{"type":"number","description":"Number of AXI FIFO entries of the DMA engine.","default":3},"dma_req_fifo_depth":{"type":"number","description":"Number of request entries the DMA can keep","default":3},"enable_debug":{"type":"boolean","description":"Whether to provide a debug request input and external debug features","default":true},"sram_cfg_expose":{"type":"boolean","description":"Whether to expose memory cut configuration inputs for implementation","default":false},"sram_cfg_fields":{"type":"object","description":"The names and widths of memory cut configuration inputs needed for implementation","default":{"reserved":1},"minProperties":1,"propertyNames":{"pattern":"^[A-Za-z_][A-Za-z0-9_]*$"},"additionalProperties":{"type":"number","minimum":1}},"timing":{"type":"object","title":"Timing and Latency Tuning Parameter","properties":{"iso_crossings":{"type":"boolean","description":"Enable isochronous crossings, this clocks the integer core at half the speed of the rest of the system.","default":false},"narrow_xbar_latency":{"type":"string","description":"Latency mode of the cluster crossbar.","enum":["NO_LATENCY","CUT_SLV_AX","CUT_MST_AX","CUT_ALL_AX","CUT_SLV_PORTS","CUT_MST_PORTS","CUT_ALL_PORTS"],"default":"CUT_ALL_PORTS"},"wide_xbar_latency":{"type":"string","description":"Latency mode of the DMA crossbar.","enum":["NO_LATENCY","CUT_SLV_AX","CUT_MST_AX","CUT_ALL_AX","CUT_SLV_PORTS","CUT_MST_PORTS","CUT_ALL_PORTS"],"default":"CUT_ALL_PORTS"},"register_offload_req":{"type":"boolean","description":"Insert Pipeline registers into off-loading path (request).","default":false},"register_offload_rsp":{"type":"boolean","description":"Insert Pipeline registers into off-loading path (response).","default":false},"register_core_req":{"type":"boolean","description":"Insert Pipeline registers into data memory request path.","default":false},"register_core_rsp":{"type":"boolean","description":"Insert Pipeline registers into data memory response path.","default":false},"register_fpu_req":{"type":"boolean","description":"Insert Pipeline register into the FPU request data path","default":false},"register_fpu_in":{"type":"boolean","description":"Insert Pipeline registers immediately before FPU datapath","default":false},"register_fpu_out":{"type":"boolean","description":"Insert Pipeline registers immediately after FPU datapath","default":false},"register_tcdm_cuts":{"type":"boolean","description":"Insert Pipeline registers after each memory cut.","default":false},"register_ext_wide":{"type":"boolean","description":"Decouple wide external AXI plug.","default":false},"register_ext_narrow":{"type":"boolean","description":"Decouple narrow external AXI plug.","default":false},"register_sequencer":{"type":"boolean","description":"Insert Pipeline registers after sequencer.","default":false},"lat_comp_fp32":{"type":"number","description":"Latency setting (number of pipeline stages) for FP32.","default":3},"lat_comp_fp64":{"type":"number","description":"Latency setting (number of pipeline stages) for FP64.","default":3},"lat_comp_fp16":{"type":"number","description":"Latency setting (number of pipeline stages) for FP16.","default":1},"lat_comp_fp16_alt":{"type":"number","description":"Latency setting (number of pipeline stages) for FP16alt (brainfloat).","default":2},"lat_comp_fp8":{"type":"number","description":"Latency setting (number of pipeline stages) for FP8.","default":1},"lat_comp_fp8alt":{"type":"number","description":"Latency setting (number of pipeline stages) for FP8alt.","default":1},"lat_noncomp":{"type":"number","description":"Latency setting (number of pipeline stages) for floating-point non-computational instructions (except conversions), i.e., `classify`, etc.","default":1},"lat_conv":{"type":"number","description":"Latency setting (number of pipeline stages) for floating-point conversion instructions.","default":1},"lat_sdotp":{"type":"number","description":"Latency setting (number of pipeline stages) for floating-point expanding dot product with accumulation.","default":2},"fpu_pipe_config":{"type":"string","description":"Pipeline configuration (i.e., position of the registers) of the FPU.","enum":["BEFORE","AFTER","INSIDE","DISTRIBUTED"],"default":"BEFORE"}}},"hives":{"type":"array","title":"Hives","description":"Cores in a hive share an instruction cache and other shared infrastructure such as the PTW or the multiply/divide unit.","minItems":1,"items":{"type":"object","title":"Hive Description","description":"Configuration of a Hive","properties":{"icache":{"type":"object","title":"Hive's instruction cache configuration.","description":"Detailed configuration of the current Hive's instruction cache.","default":{"size":8,"sets":2,"cacheline":128},"properties":{"size":{"type":"number","description":"Total instruction cache size in KiByte."},"sets":{"type":"number","description":"Number of ways."},"cacheline":{"type":"number","description":"Cacheline/Word size in bits."}}},"cores":{"type":"array","title":"Cores","description":"List of all cores in the respective hive.","minItems":1,"items":{"type":"object","title":"Core Description","description":"Description of a single core.","properties":{"isa":{"type":"string","title":"ISA String containing RISC-V standard extensions.","description":"ISA string as defined by the RISC-V standard. Only contain the standardized ISA extensions.","examples":["rv32imafd"],"default":"rv32imafd"},"Xdiv_sqrt":{"type":"boolean","description":"Enable hardware support for floating-point division and square-root. The iterative floating-point unit is known to produce some rounding inaccuracies so it is disabled by default.","default":false},"xssr":{"type":"boolean","title":"Enable Xssr Extension","description":"Stream Semantic Registers (Xssr) custom extension.","default":true},"xfrep":{"type":"boolean","title":"Enable Xfrep Extension","description":"Floating-point repetition buffer (Xfrep) custom extension.","default":true},"xdma":{"type":"boolean","title":"Xdma Extension","description":"Direct memory access (Xdma) custom extension.","default":false},"xf8":{"type":"boolean","title":"Xf8 8-bit Float Extension","description":"Enable Smallfloat Xf8 extension (IEEE 8-bit float).","default":false},"xf8alt":{"type":"boolean","title":"Xf8 8-bit Float Extension","description":"Enable Smallfloat Xf8alt extension.","default":false},"xf16":{"type":"boolean","title":"Xf16 16-bit Float Extension","description":"Enable Smallfloat Xf16 extension (IEEE 16-bit float).","default":false},"xf16alt":{"type":"boolean","title":"Xf16alt 16-bit Brain-Float Extension","description":"Enable Smallfloat Xf16alt extension, also known as brain-float.","default":false},"xfdotp":{"type":"boolean","title":"Xfdotp Extension","description":"Enable DOTP extensions.","default":false},"xfvec":{"type":"boolean","title":"Xfvec Extension","description":"Enable Smallfloat vector extension (SIMD).","default":false},"num_int_outstanding_loads":{"type":"number","description":"Number of outstanding integer loads. Determines the buffer size in the core's load/store unit.","default":1},"num_int_outstanding_mem":{"type":"number","description":"Number of outstanding memory operations. Determines the buffer size in the core's load/store unit.","default":1},"num_fp_outstanding_loads":{"type":"number","description":"Number of outstanding floating-point loads. Determines the buffer size in the FPU's load/store unit.","default":4},"num_fp_outstanding_mem":{"type":"number","description":"Number of outstanding memory operations. Determines the buffer size in the core's load/store unit.","default":1},"num_sequencer_instructions":{"type":"number","description":"Amount of floating-point instruction the floating-point sequence buffer can hold.","default":16},"num_itlb_entries":{"type":"number","description":"Number of ITLB entries. Determines the core's size.","default":1},"num_dtlb_entries":{"type":"number","description":"Number of DTLB entries. Determines the core's size.","default":2},"ssr_intersection":{"type":"boolean","title":"SSR Intersection Feature","description":"Enable intersection between 3 SSRs for sparse-sparse processing.","default":false},"ssr_intersection_triple":{"type":"array","title":"SSR Intersection Triple","description":"Indices of the SSRs forming an SSR intersection triple.","maxItems":3,"minItems":3,"default":[0,1,2],"items":{"type":"number"}},"ssr_mux_resp_depth":{"type":"number","description":"Depth of response buffer in the TCDM multiplexer arbitrating between core and SSR 0.","default":4},"ssrs":{"type":"array","title":"SSRs","description":"List of all SSRs in the respective core.","minItems":0,"default":[{},{},{}],"items":{"type":"object","title":"SSR Description","description":"Description of a single Stream Semantic Register.","properties":{"reg_idx":{"type":["number","null"],"description":"The floating-point register index this SSR is assigned to. If not assigned, the next available index counting from 0 is chosen.","minimum":0,"maximum":31,"default":null},"indirection":{"type":"boolean","description":"Enable indirection extension.","default":false},"indir_out_spill":{"type":"boolean","description":"Whether to cut timing paths with a spill register at the address generator output; added only if indirection extension enabled.","default":true},"isect_slave_spill":{"type":"boolean","description":"Whether to cut timing paths with a spill register at the intersector index output; added only if this SSR is an intersection slave.","default":true},"isect_slave_credits":{"type":"number","description":"Number of elements by which intersected indices may outrun corresponding data; added only if this SSR is an intersection slave.","minimum":2,"default":8},"num_loops":{"type":"number","description":"Number of nested hardware loops in address generator.","minimum":1,"maximum":4,"default":4},"index_credits":{"type":"number","description":"Number of credits and buffer depth of the index word FIFO.","minimum":1,"default":3},"data_credits":{"type":"number","description":"Number of credits and buffer depth of the data word FIFO.","minimum":1,"default":4},"mux_resp_depth":{"type":"number","description":"Depth of response buffer in the TCDM multiplexer arbitrating between data and indices.","minimum":1,"default":3},"index_width":{"type":["number","null"],"description":"Internal bitwidth of indices in address generator; default covers full TCDM in a unit-stride 1D loop.","minimum":1,"maximum":32,"default":null},"pointer_width":{"type":["number","null"],"description":"Internal bitwidth of pointers in address generator; default covers full TCDM","maximum":32,"default":null},"shift_width":{"type":"number","description":"Internal bitwidth of additional left shift amount for indirect indices.","minimum":1,"maximum":32,"default":3},"rpt_width":{"type":"number","description":"Internal bitwidth of repetition counter for read streams.","minimum":1,"maximum":32,"default":4}}}}}}}}}}}} diff --git a/docs/schema-doc/snitch_cluster_tb-properties-dram-properties-address.md b/docs/schema-doc/snitch_cluster_tb-properties-dram-properties-address.md deleted file mode 100644 index 8965f944c..000000000 --- a/docs/schema-doc/snitch_cluster_tb-properties-dram-properties-address.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled number in Snitch Cluster TB Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster_tb.schema.json#/properties/dram/properties/address -``` - -Start address of DRAM. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster_tb.schema.json*](snitch_cluster_tb.schema.json "open original schema") | - -## address Type - -`number` - -## address Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/snitch_cluster_tb-properties-dram-properties-length.md b/docs/schema-doc/snitch_cluster_tb-properties-dram-properties-length.md deleted file mode 100644 index 843888d1e..000000000 --- a/docs/schema-doc/snitch_cluster_tb-properties-dram-properties-length.md +++ /dev/null @@ -1,19 +0,0 @@ -# Untitled number in Snitch Cluster TB Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster_tb.schema.json#/properties/dram/properties/length -``` - -Total size of DRAM in bytes. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :---------------------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | Unknown identifiability | Forbidden | Allowed | none | [snitch_cluster_tb.schema.json*](snitch_cluster_tb.schema.json "open original schema") | - -## length Type - -`number` - -## length Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/snitch_cluster_tb-properties-dram.md b/docs/schema-doc/snitch_cluster_tb-properties-dram.md deleted file mode 100644 index 3c1f1de78..000000000 --- a/docs/schema-doc/snitch_cluster_tb-properties-dram.md +++ /dev/null @@ -1,66 +0,0 @@ -# DRAM Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster_tb.schema.json#/properties/dram -``` - -Main, off-chip DRAM. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------------- | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [snitch_cluster_tb.schema.json*](snitch_cluster_tb.schema.json "open original schema") | - -## dram Type - -`object` ([DRAM](snitch_cluster_tb-properties-dram.md)) - -# DRAM Properties - -| Property | Type | Required | Nullable | Defined by | -| :------------------ | :------- | :------- | :------------- | :-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | -| [address](#address) | `number` | Required | cannot be null | [Snitch Cluster TB Schema](snitch_cluster_tb-properties-dram-properties-address.md "http://pulp-platform.org/snitch/snitch_cluster_tb.schema.json#/properties/dram/properties/address") | -| [length](#length) | `number` | Required | cannot be null | [Snitch Cluster TB Schema](snitch_cluster_tb-properties-dram-properties-length.md "http://pulp-platform.org/snitch/snitch_cluster_tb.schema.json#/properties/dram/properties/length") | - -## address - -Start address of DRAM. - -`address` - -* is required - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster TB Schema](snitch_cluster_tb-properties-dram-properties-address.md "http://pulp-platform.org/snitch/snitch_cluster_tb.schema.json#/properties/dram/properties/address") - -### address Type - -`number` - -### address Constraints - -**minimum**: the value of this number must greater than or equal to: `0` - -## length - -Total size of DRAM in bytes. - -`length` - -* is required - -* Type: `number` - -* cannot be null - -* defined in: [Snitch Cluster TB Schema](snitch_cluster_tb-properties-dram-properties-length.md "http://pulp-platform.org/snitch/snitch_cluster_tb.schema.json#/properties/dram/properties/length") - -### length Type - -`number` - -### length Constraints - -**minimum**: the value of this number must greater than or equal to: `0` diff --git a/docs/schema-doc/snitch_cluster_tb.md b/docs/schema-doc/snitch_cluster_tb.md deleted file mode 100644 index 159204e6d..000000000 --- a/docs/schema-doc/snitch_cluster_tb.md +++ /dev/null @@ -1,58 +0,0 @@ -# Snitch Cluster TB Schema Schema - -```txt -http://pulp-platform.org/snitch/snitch_cluster_tb.schema.json -``` - -Description for a very simple single-cluster testbench. That is the most minimal system available. Most of the hardware is emulated by the testbench. - -| Abstract | Extensible | Status | Identifiable | Custom Properties | Additional Properties | Access Restrictions | Defined In | -| :------------------ | :--------- | :------------- | :----------- | :---------------- | :-------------------- | :------------------ | :------------------------------------------------------------------------------------ | -| Can be instantiated | No | Unknown status | No | Forbidden | Allowed | none | [snitch_cluster_tb.schema.json](snitch_cluster_tb.schema.json "open original schema") | - -## Snitch Cluster TB Schema Type - -`object` ([Snitch Cluster TB Schema](snitch_cluster_tb.md)) - -# Snitch Cluster TB Schema Properties - -| Property | Type | Required | Nullable | Defined by | -| :------------------ | :------- | :------- | :------------- | :------------------------------------------------------------------------------------------------------------------------------------------------------ | -| [cluster](#cluster) | `object` | Required | cannot be null | [Snitch Cluster TB Schema](occamy-properties-snitch-cluster-schema.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/cluster") | -| [dram](#dram) | `object` | Required | cannot be null | [Snitch Cluster TB Schema](snitch_cluster_tb-properties-dram.md "http://pulp-platform.org/snitch/snitch_cluster_tb.schema.json#/properties/dram") | - -## cluster - -Base description of a Snitch cluster and its internal structure and configuration. - -`cluster` - -* is required - -* Type: `object` ([Snitch Cluster Schema](occamy-properties-snitch-cluster-schema.md)) - -* cannot be null - -* defined in: [Snitch Cluster TB Schema](occamy-properties-snitch-cluster-schema.md "http://pulp-platform.org/snitch/snitch_cluster.schema.json#/properties/cluster") - -### cluster Type - -`object` ([Snitch Cluster Schema](occamy-properties-snitch-cluster-schema.md)) - -## dram - -Main, off-chip DRAM. - -`dram` - -* is required - -* Type: `object` ([DRAM](snitch_cluster_tb-properties-dram.md)) - -* cannot be null - -* defined in: [Snitch Cluster TB Schema](snitch_cluster_tb-properties-dram.md "http://pulp-platform.org/snitch/snitch_cluster_tb.schema.json#/properties/dram") - -### dram Type - -`object` ([DRAM](snitch_cluster_tb-properties-dram.md)) diff --git a/docs/schema-doc/snitch_cluster_tb.schema.json b/docs/schema-doc/snitch_cluster_tb.schema.json deleted file mode 100644 index dc4023875..000000000 --- a/docs/schema-doc/snitch_cluster_tb.schema.json +++ /dev/null @@ -1 +0,0 @@ -{"$schema":"http://json-schema.org/draft-07/schema#","$id":"http://pulp-platform.org/snitch/snitch_cluster_tb.schema.json","title":"Snitch Cluster TB Schema","description":"Description for a very simple single-cluster testbench. That is the most minimal system available. Most of the hardware is emulated by the testbench.","type":"object","required":["cluster","dram"],"properties":{"cluster":{"$ref":"http://pulp-platform.org/snitch/snitch_cluster.schema.json"},"dram":{"title":"DRAM","type":"object","description":"Main, off-chip DRAM.","required":["address","length"],"properties":{"address":{"type":"number","description":"Start address of DRAM.","minimum":0},"length":{"type":"number","description":"Total size of DRAM in bytes.","minimum":0}}}}} diff --git a/util/occamygen/occamy.py b/util/occamygen/occamy.py index 3ce5134ea..be412247a 100644 --- a/util/occamygen/occamy.py +++ b/util/occamygen/occamy.py @@ -16,12 +16,12 @@ class Occamy(Generator): def __init__(self, cfg): occamy_root = (Path(__file__).parent / "../../").resolve() snitch_root = (Path(__file__).parent / "../../deps/snitch_cluster").resolve() - schema = occamy_root / "docs/schema/occamy.schema.json" - remote_schemas = [occamy_root / "docs/schema/axi_xbar.schema.json", - occamy_root / "docs/schema/axi_tlb.schema.json", - occamy_root / "docs/schema/address_range.schema.json", - occamy_root / "docs/schema/peripherals.schema.json", - snitch_root / "docs/schema/snitch_cluster.schema.json"] + schema = occamy_root / "util/occamygen/schema/occamy.schema.json" + remote_schemas = [occamy_root / "util/occamygen/schema/axi_xbar.schema.json", + occamy_root / "util/occamygen/schema/axi_tlb.schema.json", + occamy_root / "util/occamygen/schema/address_range.schema.json", + occamy_root / "util/occamygen/schema/peripherals.schema.json", + snitch_root / "util/clustergen/schema/snitch_cluster.schema.json"] super().__init__(schema, remote_schemas) # Validate the schema. self.validate(cfg) diff --git a/docs/schema/address_range.schema.json b/util/occamygen/schema/address_range.schema.json similarity index 100% rename from docs/schema/address_range.schema.json rename to util/occamygen/schema/address_range.schema.json diff --git a/docs/schema/axi_tlb.schema.json b/util/occamygen/schema/axi_tlb.schema.json similarity index 100% rename from docs/schema/axi_tlb.schema.json rename to util/occamygen/schema/axi_tlb.schema.json diff --git a/docs/schema/axi_xbar.schema.json b/util/occamygen/schema/axi_xbar.schema.json similarity index 100% rename from docs/schema/axi_xbar.schema.json rename to util/occamygen/schema/axi_xbar.schema.json diff --git a/docs/schema/occamy.schema.json b/util/occamygen/schema/occamy.schema.json similarity index 100% rename from docs/schema/occamy.schema.json rename to util/occamygen/schema/occamy.schema.json diff --git a/docs/schema/peripherals.schema.json b/util/occamygen/schema/peripherals.schema.json similarity index 100% rename from docs/schema/peripherals.schema.json rename to util/occamygen/schema/peripherals.schema.json