Skip to content

Erfank96/fulladder-verilog

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

11 Commits
 
 
 
 
 
 

Repository files navigation

fulladder-verilog

these are the files you need to simulate a 2 input full adder using verilog

the testbench file for inputs are up to you. you can change inputs and time you need them for line 23 to the end

About

No description, website, or topics provided.

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published