Skip to content

Commit

Permalink
Merge pull request #216 from joecrop/rel-0-13-6
Browse files Browse the repository at this point in the history
prepping release 0.13.6
  • Loading branch information
joecrop authored Jan 9, 2024
2 parents 88d3787 + ed9133e commit 04080a0
Show file tree
Hide file tree
Showing 3 changed files with 8 additions and 107 deletions.
6 changes: 6 additions & 0 deletions CHANGELOG.md
Original file line number Diff line number Diff line change
Expand Up @@ -10,6 +10,12 @@ More details can be found on the [Releases](https://github.com/eirikpre/VSCode-S
- 💡 Back-end Language Server for Systemverilog
- 💡 Complete syntax highlighting

### [0.13.6]

- Fix auto-instantiation failing on extra parentheses by `richzwart`
- Added filetype icons inspired by [vscode-material-icon-theme](https://github.com/PKief/vscode-material-icon-theme) by `joecrop`
- Added support for Verilog-A and Verilog-AMS syntax highlighting by `joecrop`

### [0.13.5]

- Improved format command parsing and error handling per issue #170 `joecrop`
Expand Down
107 changes: 1 addition & 106 deletions README.md
Original file line number Diff line number Diff line change
Expand Up @@ -187,109 +187,4 @@ npm run compile

## Release Notes

See the [changelog](CHANGELOG.md) for more details


### 0.13.2

- modified formatter command parser to support windows paths by `joecrop`
- module instantiator now detects parameters correctly by `joecrop`

### 0.13.1

- Improved remote ssh performance by `eirikpre`

### 0.13

- input/output/input now have different icons in the outline tree by `joecrop`
- Format module instance and dropdown list of modules for auto-instantiator by `joecrop`
- support more file extensions and no extension in antlr parser by `joecrop`
- Bump minimist from 1.2.5 to 1.2.6 by `dependabot`
- Include indexing docs by `joecrop`

### 0.12.1

- Update VS Code engine to 1.56.0 to support Node.js 14
- Update several dependencies to address CVEs
- Update ESLint to version 8 and implement some suggested fixes
- Update Prettier and apply suggested formatting changes
- Remove React-related ESLint dependencies
- Remove direct dependency on `antlr4` package as it is a submodule of `antlr4ts`
- Remove on Markdown activation
- Rename `.eslintrc` to `.eslintrc.json` to fix ESLint editor integration
- Add `-Xexact-output-dir` to compile command to fix MacOS build
- Update `README.md` with a suggested configuration section and add missing settings
- Enable bracket matching and coloring to function with SystemVerilog textual brackets
- Fix `port-net-parameter` highlighting corner case
- Enhance Github Actions test workflow
- Fix coverage collection

### 0.12

- Implemented 'find references' feature, thanks to `joecrop`
- Ports and parameters are now recognized as symbols, thanks to `joecrop`
- Illegal/reserved words contained with symbols is now supported, thanks to `joecrop`
- Added more consistent syntax highlighting for UDP tables, thanks to `sw23`
- Added support for verible_verilog_lint as a compiler/linter, thanks to `joecrop`
- Fixed 'npm run compile' on MacOS, thanks to `sw23`
- Added 'Contributing' section to documentation, thanks to `gasrodriguez`

### 0.11

- Syntax Highlighting Overhaul, thanks to `jecassis`
- Smaller extension footprint, thanks to `jecassis`
- Support for external formatter, thanks to `jecassis`
- Documentation upgrade, thanks to `jecassis`
- Dev update with ESLint, Prettier and tests update, thanks to `jecassis`

### 0.10

- Folders outside the workspace can now be included with `systemverilog.includeIndexing`
- It now saves the indexed database between runs, allowing quickstart on previous workspaces

### 0.9

- Increased the number of symbols the parser understands.
- Extensive bug squashing

### 0.8

- Compile an opened document using `Verilator` simulator, display errors/warnings as `Diagnostics` in documents, thanks to `oehaddouchi`
- Compile documents on save feature using `Verilator` simulator
- Added output channel `SystemVerilog`
- Added an `LSP`, set it to communicate with the extension's client
- Keep track of the recently used modules in the indexer
- Added diagnostic support for `VCS` compiler

### 0.7

- Instantiate module from already indexed module, thanks to `oehaddouchi`
- Update to documentSymbolProvider

### 0.6

- Stability and performance upgrade
- PCRE Regexes

### 0.5

- HoverProvider added, thanks to `toastedcornflakes`
- Improvements to DocumentSymbolProvider to better support Hover

### 0.4

- Added setting to exclude folders from indexing

### 0.3

- DefinitionProvider fetching from indexed modules implemented
- Indexing is now more safe, and will work for large workspaces

### 0.2

- Alpha 2 release with expanded syntax highlighting and snippets
- Indexing of every module/interface/program/class in workspace

### 0.1

- Alpha 1 release with syntax highlighting, and go to symbols
See the [changelog](CHANGELOG.md)
2 changes: 1 addition & 1 deletion package.json
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
"name": "systemverilog",
"displayName": "SystemVerilog - Language Support",
"description": "Language support for Verilog and SystemVerilog.",
"version": "0.13.5",
"version": "0.13.6",
"publisher": "eirikpre",
"author": {
"name": "Eirik Prestegårdshus",
Expand Down

0 comments on commit 04080a0

Please sign in to comment.