Skip to content

Commit

Permalink
Add VHDL syntax coloring
Browse files Browse the repository at this point in the history
  • Loading branch information
MasonEdgar committed Sep 26, 2024
1 parent 8a84496 commit 3dee292
Show file tree
Hide file tree
Showing 4 changed files with 1,379 additions and 1 deletion.
11 changes: 11 additions & 0 deletions configs/vhdl.configuration.json
Original file line number Diff line number Diff line change
@@ -0,0 +1,11 @@
{
"comments": {
"lineComment": "--",
"blockComment": []
},
"brackets": [
["{", "}"],
["[", "]"],
["(", ")"]
]
}
18 changes: 18 additions & 0 deletions package.json
Original file line number Diff line number Diff line change
Expand Up @@ -157,6 +157,19 @@
}
},
{
"id": "vhdl",
"aliases": [
"VHDL",
"vhdl"
],
"extensions": [
".vhd",
".vhdl",
".vho"
],
"configuration": "./configs/vhdl.configuration.json"
},
{
"id": "sdc",
"aliases": [
"Synopsys Design Constraints"
Expand Down Expand Up @@ -251,6 +264,11 @@
"path": "./syntaxes/verilog-filelist.json"
},
{
"language": "vhdl",
"scopeName": "source.vhdl",
"path": "./syntaxes/vhdl.tmLanguage.json"
},
{
"language": "sdc",
"scopeName": "source.sdc",
"path": "./syntaxes/sdc.json"
Expand Down
2 changes: 1 addition & 1 deletion syntaxes/systemverilog.tmLanguage.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -265,7 +265,7 @@ repository:
- include: '#sv-rand'
sv-control:
match: >-
[ \t\r\n]*\b(initial|always|always_comb|always_ff|always_latch|final|assign|deassign|force|release|wait|forever|repeat|alias|while|for|if|iff|else|case|casex|casez|default|endcase|return|break|continue|do|foreach|clocking|coverpoint|property|bins|binsof|illegal_bins|ignore_bins|randcase|matches|solve|before|expect|cross|ref|srandom|struct|chandle|tagged|extern|throughout|timeprecision|timeunit|priority|type|union|wait_order|triggered|randsequence|context|pure|wildcard|new|forkjoin|unique|unique0|priority)\b
[ \t\r\n]*\b(initial|always|always_comb|always_ff|always_latch|final|let|assign|deassign|force|release|wait|forever|repeat|alias|while|for|if|iff|else|case|casex|casez|default|endcase|return|break|continue|do|foreach|clocking|coverpoint|property|bins|binsof|illegal_bins|ignore_bins|randcase|matches|solve|before|expect|cross|ref|srandom|struct|chandle|tagged|extern|throughout|timeprecision|timeunit|priority|type|union|wait_order|triggered|randsequence|context|pure|wildcard|new|forkjoin|unique|unique0|priority)\b
captures:
'1':
name: keyword.control.systemverilog
Expand Down
Loading

0 comments on commit 3dee292

Please sign in to comment.