Skip to content

Commit

Permalink
synthesis: tests: counter: enable ASAP7 physical design sample
Browse files Browse the repository at this point in the history
Signed-off-by: Pawel Czarnecki <pczarnecki@antmicro.com>
  • Loading branch information
lpawelcz committed Aug 25, 2023
1 parent 82512b2 commit 0cfd976
Show file tree
Hide file tree
Showing 2 changed files with 32 additions and 0 deletions.
16 changes: 16 additions & 0 deletions synthesis/tests/BUILD
Original file line number Diff line number Diff line change
Expand Up @@ -66,13 +66,29 @@ run_opensta(
synth_target = ":verilog_counter_asap7_synth",
)

gds_write(
name = "counter_asap7_asic",
implemented_rtl = ":counter_asap7_place_and_route",
)

place_and_route(
name = "counter_asap7_place_and_route",
placement_density = "0.65",
core_padding_microns = 1,
die_width_microns = 20,
die_height_microns = 20,
synthesized_rtl = ":verilog_counter_asap7_synth",
sdc = "constraint.sdc"
)

synthesize_rtl(
name = "verilog_counter_asap7_synth",
standard_cells = "@org_theopenroadproject_asap7//:asap7_rvt_1x",
top_module = "counter",
deps = [
":verilog_counter",
],
target_clock_period_pico_seconds = 10000,
)

verilog_library(
Expand Down
16 changes: 16 additions & 0 deletions synthesis/tests/constraint.sdc
Original file line number Diff line number Diff line change
@@ -0,0 +1,16 @@
current_design counter

set clk_name core_clock
set clk_port_name clk
set clk_period 10000
set clk_io_pct 0.2

set clk_port [get_ports $clk_port_name]

create_clock -name $clk_name -period $clk_period $clk_port

set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]

set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

0 comments on commit 0cfd976

Please sign in to comment.