Skip to content

Move assertion statement outside of always_comb block #1467

Move assertion statement outside of always_comb block

Move assertion statement outside of always_comb block #1467

Triggered via pull request October 17, 2024 08:42
@ricted98ricted98
opened #2552
Status Success
Total duration 48s
Artifacts

verible.yml

on: pull_request_target
Fit to window
Zoom out
Zoom in

Annotations

2 warnings
format: core/cache_subsystem/tag_cmp.sv#L88
[verible-verilog-format] reported by reviewdog 🐶 Raw Output: core/cache_subsystem/tag_cmp.sv:88:- // assert that cache only hits on one way core/cache_subsystem/tag_cmp.sv:89:- // this only needs to be checked one cycle after all ways have been requested core/cache_subsystem/tag_cmp.sv:90:- onehot : core/cache_subsystem/tag_cmp.sv:91:- assert property (@(posedge clk_i) disable iff (!rst_ni) &req_i |=> $onehot0(hit_way_o)) core/cache_subsystem/tag_cmp.sv:92:- else begin core/cache_subsystem/tag_cmp.sv:93:- $fatal(1, "Hit should be one-hot encoded"); core/cache_subsystem/tag_cmp.sv:94:- end core/cache_subsystem/tag_cmp.sv:88:+ // assert that cache only hits on one way core/cache_subsystem/tag_cmp.sv:89:+ // this only needs to be checked one cycle after all ways have been requested core/cache_subsystem/tag_cmp.sv:90:+ onehot : core/cache_subsystem/tag_cmp.sv:91:+ assert property (@(posedge clk_i) disable iff (!rst_ni) &req_i |=> $onehot0(hit_way_o)) core/cache_subsystem/tag_cmp.sv:92:+ else begin core/cache_subsystem/tag_cmp.sv:93:+ $fatal(1, "Hit should be one-hot encoded"); core/cache_subsystem/tag_cmp.sv:94:+ end
format
The following actions use a deprecated Node.js version and will be forced to run on node20: actions/checkout@v3. For more info: https://github.blog/changelog/2024-03-07-github-actions-all-actions-will-run-on-node20-instead-of-node16-by-default/