Skip to content

Commit

Permalink
[DEP] add axi_llc dependencies
Browse files Browse the repository at this point in the history
  • Loading branch information
Zhang Chi committed Sep 30, 2023
1 parent bd5bf56 commit d70eed3
Show file tree
Hide file tree
Showing 4 changed files with 23 additions and 0 deletions.
19 changes: 19 additions & 0 deletions Bender.lock
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,25 @@ packages:
Git: https://github.com/pulp-platform/apb.git
dependencies:
- common_cells
axi_llc:
revision: 559bcbd09a5a884dbe31e2d72fd95d024e357f39
version: 0.2.1
source:
Git: https://github.com/pulp-platform/axi_llc.git
dependencies:
- axi
- common_cells
- common_verification
- register_interface
- tech_cells_generic
register_interface:
revision: 9fc63015615acb11111e4bc3e858381e3e72405d
version: 0.3.6
source:
Git: https://github.com/pulp-platform/register_interface.git
dependencies:
- axi
- common_cells
axi:
revision: af8b0ce2653997301b1b792c4c6d207b95f63a56
version: 0.39.0-beta.2
Expand Down
2 changes: 2 additions & 0 deletions Bender.yml
Original file line number Diff line number Diff line change
Expand Up @@ -13,6 +13,8 @@ dependencies:
cva6: { git: "https://github.com/pulp-platform/cva6.git", rev: acc_port }
tech_cells_generic: { git: "https://github.com/pulp-platform/tech_cells_generic.git", version: 0.2.1 }
apb: { git: "https://github.com/pulp-platform/apb.git", version: 0.2.4 }
register_interface: { git: "https://github.com/pulp-platform/register_interface.git", version: 0.3.6 }
axi_llc: { git: "https://github.com/pulp-platform/axi_llc.git" , version: 0.2.1 }

workspace:
checkout_dir: "hardware/deps"
Expand Down
1 change: 1 addition & 0 deletions hardware/deps/axi_llc
Submodule axi_llc added at 559bcb
1 change: 1 addition & 0 deletions hardware/deps/register_interface
Submodule register_interface added at 9fc630

0 comments on commit d70eed3

Please sign in to comment.